MSP430 Linker PC v20.2.5.LTS Copyright (c) 2003-2018 Texas Instruments Incorporated 0x6144ebc6 0x0 Lab4_Problem2.out RESET
0x4400
.\ object main.obj main.obj object <internal> <internal> C:\ti\ccs1040\ccs\tools\compiler\ti-cgt-msp430_20.2.5.LTS\lib\ archive rts430_eabi.lib int41.asm.obj C:\ti\ccs1040\ccs\tools\compiler\ti-cgt-msp430_20.2.5.LTS\lib\ archive rts430_eabi.lib int42.asm.obj C:\ti\ccs1040\ccs\tools\compiler\ti-cgt-msp430_20.2.5.LTS\lib\ archive rts430_eabi.lib int43.asm.obj C:\ti\ccs1040\ccs\tools\compiler\ti-cgt-msp430_20.2.5.LTS\lib\ archive rts430_eabi.lib int44.asm.obj C:\ti\ccs1040\ccs\tools\compiler\ti-cgt-msp430_20.2.5.LTS\lib\ archive rts430_eabi.lib int45.asm.obj C:\ti\ccs1040\ccs\tools\compiler\ti-cgt-msp430_20.2.5.LTS\lib\ archive rts430_eabi.lib int46.asm.obj C:\ti\ccs1040\ccs\tools\compiler\ti-cgt-msp430_20.2.5.LTS\lib\ archive rts430_eabi.lib int47.asm.obj C:\ti\ccs1040\ccs\tools\compiler\ti-cgt-msp430_20.2.5.LTS\lib\ archive rts430_eabi.lib int48.asm.obj C:\ti\ccs1040\ccs\tools\compiler\ti-cgt-msp430_20.2.5.LTS\lib\ archive rts430_eabi.lib int49.asm.obj C:\ti\ccs1040\ccs\tools\compiler\ti-cgt-msp430_20.2.5.LTS\lib\ archive rts430_eabi.lib int50.asm.obj C:\ti\ccs1040\ccs\tools\compiler\ti-cgt-msp430_20.2.5.LTS\lib\ archive rts430_eabi.lib int51.asm.obj C:\ti\ccs1040\ccs\tools\compiler\ti-cgt-msp430_20.2.5.LTS\lib\ archive rts430_eabi.lib int52.asm.obj C:\ti\ccs1040\ccs\tools\compiler\ti-cgt-msp430_20.2.5.LTS\lib\ archive rts430_eabi.lib int53.asm.obj C:\ti\ccs1040\ccs\tools\compiler\ti-cgt-msp430_20.2.5.LTS\lib\ archive rts430_eabi.lib int54.asm.obj C:\ti\ccs1040\ccs\tools\compiler\ti-cgt-msp430_20.2.5.LTS\lib\ archive rts430_eabi.lib int55.asm.obj C:\ti\ccs1040\ccs\tools\compiler\ti-cgt-msp430_20.2.5.LTS\lib\ archive rts430_eabi.lib int56.asm.obj C:\ti\ccs1040\ccs\tools\compiler\ti-cgt-msp430_20.2.5.LTS\lib\ archive rts430_eabi.lib int57.asm.obj C:\ti\ccs1040\ccs\tools\compiler\ti-cgt-msp430_20.2.5.LTS\lib\ archive rts430_eabi.lib int58.asm.obj C:\ti\ccs1040\ccs\tools\compiler\ti-cgt-msp430_20.2.5.LTS\lib\ archive rts430_eabi.lib int59.asm.obj C:\ti\ccs1040\ccs\tools\compiler\ti-cgt-msp430_20.2.5.LTS\lib\ archive rts430_eabi.lib int60.asm.obj C:\ti\ccs1040\ccs\tools\compiler\ti-cgt-msp430_20.2.5.LTS\lib\ archive rts430_eabi.lib int61.asm.obj C:\ti\ccs1040\ccs\tools\compiler\ti-cgt-msp430_20.2.5.LTS\lib\ archive rts430_eabi.lib int62.asm.obj C:\ti\ccs1040\ccs\tools\compiler\ti-cgt-msp430_20.2.5.LTS\lib\ archive rts430_eabi.lib isr_trap.asm.obj C:\ti\ccs1040\ccs\tools\compiler\ti-cgt-msp430_20.2.5.LTS\lib\ archive rts430_eabi.lib mult16_f5hw.asm.obj C:\ti\ccs1040\ccs\tools\compiler\ti-cgt-msp430_20.2.5.LTS\lib\ archive rts430_eabi.lib mult1632_f5hw.asm.obj C:\ti\ccs1040\ccs\tools\compiler\ti-cgt-msp430_20.2.5.LTS\lib\ archive rts430_eabi.lib mult32_f5hw.asm.obj C:\ti\ccs1040\ccs\tools\compiler\ti-cgt-msp430_20.2.5.LTS\lib\ archive rts430_eabi.lib mult3264_f5hw.asm.obj C:\ti\ccs1040\ccs\tools\compiler\ti-cgt-msp430_20.2.5.LTS\lib\ archive rts430_eabi.lib mult64_f5hw.asm.obj .data 0x2400 0x2400 0x4 .stack 0x4400 0x4400 0x0 .stack 0x4400 0x4400 0x0 .text 0x4400 0x4400 0x74 .text:_isr:__TI_ISR_TRAP 0x4474 0x4474 0x8 .binit 0x4400 0x4400 0x0 .int41 0xffd2 0xffd2 0x2 .int42 0xffd4 0xffd4 0x2 .int43 0xffd6 0xffd6 0x2 .int44 0xffd8 0xffd8 0x2 .int45 0xffda 0xffda 0x2 .int46 0xffdc 0xffdc 0x2 .int47 0xffde 0xffde 0x2 .int48 0xffe0 0xffe0 0x2 .int49 0xffe2 0xffe2 0x2 .int50 0xffe4 0xffe4 0x2 .int51 0xffe6 0xffe6 0x2 .int52 0xffe8 0xffe8 0x2 .int53 0xffea 0xffea 0x2 .int54 0xffec 0xffec 0x2 .int55 0xffee 0xffee 0x2 .int56 0xfff0 0xfff0 0x2 .int57 0xfff2 0xfff2 0x2 .int58 0xfff4 0xfff4 0x2 .int59 0xfff6 0xfff6 0x2 .int60 0xfff8 0xfff8 0x2 .int61 0xfffa 0xfffa 0x2 .int62 0xfffc 0xfffc 0x2 .reset 0xfffe 0xfffe 0x2 .debug_info 0x0 0x0 0xc2 .debug_info 0xc2 0xc2 0x10f .debug_info 0x1d1 0x1d1 0x96 .debug_line 0x0 0x0 0x65 .debug_line 0x65 0x65 0x3d .debug_abbrev 0x0 0x0 0x25 .debug_abbrev 0x25 0x25 0x28 .debug_abbrev 0x4d 0x4d 0xf .debug_aranges 0x0 0x0 0x20 .debug_aranges 0x20 0x20 0x20 .debug_pubnames 0x0 0x0 0x2a .debug_pubnames 0x2a 0x2a 0x2b .bss 0x0 0x0 .data 0x2400 0x2400 0x4 .TI.noinit 0x0 0x0 .sysmem 0x0 0x0 .stack 0x4400 0x4400 0x0 .text 0x4400 0x4400 0x74 .text:_isr 0x4474 0x4474 0x8 .cinit 0x0 0x0 .const 0x0 0x0 .cio 0x0 0x0 .pinit 0x0 0x0 .binit 0x4400 0x4400 0x0 .init_array 0x0 0x0 .mspabi.exidx 0x0 0x0 .mspabi.extab 0x0 0x0 .TI.ramfunc 0x0 0x0 .infoA 0x0 0x0 .infoB 0x0 0x0 .infoC 0x0 0x0 .infoD 0x0 0x0 .int00 0x0 0x0 .int01 0x0 0x0 .int02 0x0 0x0 .int03 0x0 0x0 .int04 0x0 0x0 .int05 0x0 0x0 .int06 0x0 0x0 .int07 0x0 0x0 .int08 0x0 0x0 .int09 0x0 0x0 .int10 0x0 0x0 .int11 0x0 0x0 .int12 0x0 0x0 .int13 0x0 0x0 .int14 0x0 0x0 .int15 0x0 0x0 .int16 0x0 0x0 .int17 0x0 0x0 .int18 0x0 0x0 .int19 0x0 0x0 .int20 0x0 0x0 .int21 0x0 0x0 .int22 0x0 0x0 .int23 0x0 0x0 .int24 0x0 0x0 .int25 0x0 0x0 .int26 0x0 0x0 .int27 0x0 0x0 .int28 0x0 0x0 .int29 0x0 0x0 .int30 0x0 0x0 .int31 0x0 0x0 .int32 0x0 0x0 .int33 0x0 0x0 .int34 0x0 0x0 .int35 0x0 0x0 .int36 0x0 0x0 .int37 0x0 0x0 .int38 0x0 0x0 .int39 0x0 0x0 .int40 0x0 0x0 RTC 0xffd2 0xffd2 0x2 PORT2 0xffd4 0xffd4 0x2 TIMER2_A1 0xffd6 0xffd6 0x2 TIMER2_A0 0xffd8 0xffd8 0x2 USCI_B1 0xffda 0xffda 0x2 USCI_A1 0xffdc 0xffdc 0x2 PORT1 0xffde 0xffde 0x2 TIMER1_A1 0xffe0 0xffe0 0x2 TIMER1_A0 0xffe2 0xffe2 0x2 DMA 0xffe4 0xffe4 0x2 USB_UBM 0xffe6 0xffe6 0x2 TIMER0_A1 0xffe8 0xffe8 0x2 TIMER0_A0 0xffea 0xffea 0x2 ADC12 0xffec 0xffec 0x2 USCI_B0 0xffee 0xffee 0x2 USCI_A0 0xfff0 0xfff0 0x2 WDT 0xfff2 0xfff2 0x2 TIMER0_B1 0xfff4 0xfff4 0x2 TIMER0_B0 0xfff6 0xfff6 0x2 COMP_B 0xfff8 0xfff8 0x2 UNMI 0xfffa 0xfffa 0x2 SYSNMI 0xfffc 0xfffc 0x2 .reset 0xfffe 0xfffe 0x2 .TI.persistent 0x0 0x0 .debug_info 0x0 0x0 0x267 .debug_line 0x0 0x0 0xa2 .debug_abbrev 0x0 0x0 0x5c .debug_aranges 0x0 0x0 0x40 .debug_pubnames 0x0 0x0 0x55 SEGMENT_0 0x2400 0x2400 0x4 0x6 SEGMENT_1 0x4400 0x4400 0x7c 0x5 SEGMENT_2 0xffd2 0xffd2 0x2e 0x4 SFR 0x0 0x0 0x10 0x0 0x10 RWIX PERIPHERALS_8BIT 0x0 0x10 0xf0 0x0 0xf0 RWIX PERIPHERALS_16BIT 0x0 0x100 0x100 0x0 0x100 RWIX INFOD 0x0 0x1800 0x80 0x0 0x80 RWIX INFOC 0x0 0x1880 0x80 0x0 0x80 RWIX INFOB 0x0 0x1900 0x80 0x0 0x80 RWIX INFOA 0x0 0x1980 0x80 0x0 0x80 RWIX USBRAM 0x0 0x1c00 0x800 0x0 0x800 RWIX RAM 0x0 0x2400 0x2000 0x4 0x1ffc RWIX 0x2400 0x4 0x2404 0x1ffc 0x4400 0x0 FLASH 0x0 0x4400 0xbb80 0x7c 0xbb04 RWIX 0x4400 0x0 0x4400 0x74 0x4474 0x8 0x447c 0xbb04 INT00 0x0 0xff80 0x2 0x0 0x2 RWIX INT01 0x0 0xff82 0x2 0x0 0x2 RWIX INT02 0x0 0xff84 0x2 0x0 0x2 RWIX INT03 0x0 0xff86 0x2 0x0 0x2 RWIX INT04 0x0 0xff88 0x2 0x0 0x2 RWIX INT05 0x0 0xff8a 0x2 0x0 0x2 RWIX INT06 0x0 0xff8c 0x2 0x0 0x2 RWIX INT07 0x0 0xff8e 0x2 0x0 0x2 RWIX INT08 0x0 0xff90 0x2 0x0 0x2 RWIX INT09 0x0 0xff92 0x2 0x0 0x2 RWIX INT10 0x0 0xff94 0x2 0x0 0x2 RWIX INT11 0x0 0xff96 0x2 0x0 0x2 RWIX INT12 0x0 0xff98 0x2 0x0 0x2 RWIX INT13 0x0 0xff9a 0x2 0x0 0x2 RWIX INT14 0x0 0xff9c 0x2 0x0 0x2 RWIX INT15 0x0 0xff9e 0x2 0x0 0x2 RWIX INT16 0x0 0xffa0 0x2 0x0 0x2 RWIX INT17 0x0 0xffa2 0x2 0x0 0x2 RWIX INT18 0x0 0xffa4 0x2 0x0 0x2 RWIX INT19 0x0 0xffa6 0x2 0x0 0x2 RWIX INT20 0x0 0xffa8 0x2 0x0 0x2 RWIX INT21 0x0 0xffaa 0x2 0x0 0x2 RWIX INT22 0x0 0xffac 0x2 0x0 0x2 RWIX INT23 0x0 0xffae 0x2 0x0 0x2 RWIX INT24 0x0 0xffb0 0x2 0x0 0x2 RWIX INT25 0x0 0xffb2 0x2 0x0 0x2 RWIX INT26 0x0 0xffb4 0x2 0x0 0x2 RWIX INT27 0x0 0xffb6 0x2 0x0 0x2 RWIX INT28 0x0 0xffb8 0x2 0x0 0x2 RWIX INT29 0x0 0xffba 0x2 0x0 0x2 RWIX INT30 0x0 0xffbc 0x2 0x0 0x2 RWIX INT31 0x0 0xffbe 0x2 0x0 0x2 RWIX INT32 0x0 0xffc0 0x2 0x0 0x2 RWIX INT33 0x0 0xffc2 0x2 0x0 0x2 RWIX INT34 0x0 0xffc4 0x2 0x0 0x2 RWIX INT35 0x0 0xffc6 0x2 0x0 0x2 RWIX INT36 0x0 0xffc8 0x2 0x0 0x2 RWIX INT37 0x0 0xffca 0x2 0x0 0x2 RWIX INT38 0x0 0xffcc 0x2 0x0 0x2 RWIX INT39 0x0 0xffce 0x2 0x0 0x2 RWIX INT40 0x0 0xffd0 0x2 0x0 0x2 RWIX INT41 0x0 0xffd2 0x2 0x2 0x0 RWIX 0xffd2 0x2 INT42 0x0 0xffd4 0x2 0x2 0x0 RWIX 0xffd4 0x2 INT43 0x0 0xffd6 0x2 0x2 0x0 RWIX 0xffd6 0x2 INT44 0x0 0xffd8 0x2 0x2 0x0 RWIX 0xffd8 0x2 INT45 0x0 0xffda 0x2 0x2 0x0 RWIX 0xffda 0x2 INT46 0x0 0xffdc 0x2 0x2 0x0 RWIX 0xffdc 0x2 INT47 0x0 0xffde 0x2 0x2 0x0 RWIX 0xffde 0x2 INT48 0x0 0xffe0 0x2 0x2 0x0 RWIX 0xffe0 0x2 INT49 0x0 0xffe2 0x2 0x2 0x0 RWIX 0xffe2 0x2 INT50 0x0 0xffe4 0x2 0x2 0x0 RWIX 0xffe4 0x2 INT51 0x0 0xffe6 0x2 0x2 0x0 RWIX 0xffe6 0x2 INT52 0x0 0xffe8 0x2 0x2 0x0 RWIX 0xffe8 0x2 INT53 0x0 0xffea 0x2 0x2 0x0 RWIX 0xffea 0x2 INT54 0x0 0xffec 0x2 0x2 0x0 RWIX 0xffec 0x2 INT55 0x0 0xffee 0x2 0x2 0x0 RWIX 0xffee 0x2 INT56 0x0 0xfff0 0x2 0x2 0x0 RWIX 0xfff0 0x2 INT57 0x0 0xfff2 0x2 0x2 0x0 RWIX 0xfff2 0x2 INT58 0x0 0xfff4 0x2 0x2 0x0 RWIX 0xfff4 0x2 INT59 0x0 0xfff6 0x2 0x2 0x0 RWIX 0xfff6 0x2 INT60 0x0 0xfff8 0x2 0x2 0x0 RWIX 0xfff8 0x2 INT61 0x0 0xfffa 0x2 0x2 0x0 RWIX 0xfffa 0x2 INT62 0x0 0xfffc 0x2 0x2 0x0 RWIX 0xfffc 0x2 RESET 0x0 0xfffe 0x2 0x2 0x0 RWIX 0xfffe 0x2 FLASH2 0x0 0x10000 0x143f8 0x0 0x143f8 RWIX ADC12CTL0 0x700 ADC12CTL0_L 0x700 ADC12CTL0_H 0x701 ADC12CTL1 0x702 ADC12CTL1_L 0x702 ADC12CTL1_H 0x703 ADC12CTL2 0x704 ADC12CTL2_L 0x704 ADC12CTL2_H 0x705 ADC12IFG 0x70a ADC12IFG_L 0x70a ADC12IFG_H 0x70b ADC12IE 0x70c ADC12IE_L 0x70c ADC12IE_H 0x70d ADC12IV 0x70e ADC12IV_L 0x70e ADC12IV_H 0x70f ADC12MEM0 0x720 ADC12MEM0_L 0x720 ADC12MEM0_H 0x721 ADC12MEM1 0x722 ADC12MEM1_L 0x722 ADC12MEM1_H 0x723 ADC12MEM2 0x724 ADC12MEM2_L 0x724 ADC12MEM2_H 0x725 ADC12MEM3 0x726 ADC12MEM3_L 0x726 ADC12MEM3_H 0x727 ADC12MEM4 0x728 ADC12MEM4_L 0x728 ADC12MEM4_H 0x729 ADC12MEM5 0x72a ADC12MEM5_L 0x72a ADC12MEM5_H 0x72b ADC12MEM6 0x72c ADC12MEM6_L 0x72c ADC12MEM6_H 0x72d ADC12MEM7 0x72e ADC12MEM7_L 0x72e ADC12MEM7_H 0x72f ADC12MEM8 0x730 ADC12MEM8_L 0x730 ADC12MEM8_H 0x731 ADC12MEM9 0x732 ADC12MEM9_L 0x732 ADC12MEM9_H 0x733 ADC12MEM10 0x734 ADC12MEM10_L 0x734 ADC12MEM10_H 0x735 ADC12MEM11 0x736 ADC12MEM11_L 0x736 ADC12MEM11_H 0x737 ADC12MEM12 0x738 ADC12MEM12_L 0x738 ADC12MEM12_H 0x739 ADC12MEM13 0x73a ADC12MEM13_L 0x73a ADC12MEM13_H 0x73b ADC12MEM14 0x73c ADC12MEM14_L 0x73c ADC12MEM14_H 0x73d ADC12MEM15 0x73e ADC12MEM15_L 0x73e ADC12MEM15_H 0x73f ADC12MCTL0 0x710 ADC12MCTL1 0x711 ADC12MCTL2 0x712 ADC12MCTL3 0x713 ADC12MCTL4 0x714 ADC12MCTL5 0x715 ADC12MCTL6 0x716 ADC12MCTL7 0x717 ADC12MCTL8 0x718 ADC12MCTL9 0x719 ADC12MCTL10 0x71a ADC12MCTL11 0x71b ADC12MCTL12 0x71c ADC12MCTL13 0x71d ADC12MCTL14 0x71e ADC12MCTL15 0x71f CBCTL0 0x8c0 CBCTL0_L 0x8c0 CBCTL0_H 0x8c1 CBCTL1 0x8c2 CBCTL1_L 0x8c2 CBCTL1_H 0x8c3 CBCTL2 0x8c4 CBCTL2_L 0x8c4 CBCTL2_H 0x8c5 CBCTL3 0x8c6 CBCTL3_L 0x8c6 CBCTL3_H 0x8c7 CBINT 0x8cc CBINT_L 0x8cc CBINT_H 0x8cd CBIV 0x8ce CRCDI 0x150 CRCDI_L 0x150 CRCDI_H 0x151 CRCDIRB 0x152 CRCDIRB_L 0x152 CRCDIRB_H 0x153 CRCINIRES 0x154 CRCINIRES_L 0x154 CRCINIRES_H 0x155 CRCRESR 0x156 CRCRESR_L 0x156 CRCRESR_H 0x157 DMACTL0 0x500 DMACTL1 0x502 DMACTL2 0x504 DMACTL3 0x506 DMACTL4 0x508 DMAIV 0x50e DMA0CTL 0x510 DMA0SA 0x512 DMA0SAL 0x512 DMA0SAH 0x514 DMA0DA 0x516 DMA0DAL 0x516 DMA0DAH 0x518 DMA0SZ 0x51a DMA1CTL 0x520 DMA1SA 0x522 DMA1SAL 0x522 DMA1SAH 0x524 DMA1DA 0x526 DMA1DAL 0x526 DMA1DAH 0x528 DMA1SZ 0x52a DMA2CTL 0x530 DMA2SA 0x532 DMA2SAL 0x532 DMA2SAH 0x534 DMA2DA 0x536 DMA2DAL 0x536 DMA2DAH 0x538 DMA2SZ 0x53a FCTL1 0x140 FCTL1_L 0x140 FCTL1_H 0x141 FCTL3 0x144 FCTL3_L 0x144 FCTL3_H 0x145 FCTL4 0x146 FCTL4_L 0x146 FCTL4_H 0x147 MPY 0x4c0 MPY_L 0x4c0 MPY_H 0x4c1 MPYS 0x4c2 MPYS_L 0x4c2 MPYS_H 0x4c3 MAC 0x4c4 MAC_L 0x4c4 MAC_H 0x4c5 MACS 0x4c6 MACS_L 0x4c6 MACS_H 0x4c7 OP2 0x4c8 OP2_L 0x4c8 OP2_H 0x4c9 RESLO 0x4ca RESLO_L 0x4ca RESLO_H 0x4cb RESHI 0x4cc RESHI_L 0x4cc RESHI_H 0x4cd SUMEXT 0x4ce SUMEXT_L 0x4ce SUMEXT_H 0x4cf MPY32L 0x4d0 MPY32L_L 0x4d0 MPY32L_H 0x4d1 MPY32H 0x4d2 MPY32H_L 0x4d2 MPY32H_H 0x4d3 MPYS32L 0x4d4 MPYS32L_L 0x4d4 MPYS32L_H 0x4d5 MPYS32H 0x4d6 MPYS32H_L 0x4d6 MPYS32H_H 0x4d7 MAC32L 0x4d8 MAC32L_L 0x4d8 MAC32L_H 0x4d9 MAC32H 0x4da MAC32H_L 0x4da MAC32H_H 0x4db MACS32L 0x4dc MACS32L_L 0x4dc MACS32L_H 0x4dd MACS32H 0x4de MACS32H_L 0x4de MACS32H_H 0x4df OP2L 0x4e0 OP2L_L 0x4e0 OP2L_H 0x4e1 OP2H 0x4e2 OP2H_L 0x4e2 OP2H_H 0x4e3 RES0 0x4e4 RES0_L 0x4e4 RES0_H 0x4e5 RES1 0x4e6 RES1_L 0x4e6 RES1_H 0x4e7 RES2 0x4e8 RES2_L 0x4e8 RES2_H 0x4e9 RES3 0x4ea RES3_L 0x4ea RES3_H 0x4eb MPY32CTL0 0x4ec MPY32CTL0_L 0x4ec MPY32CTL0_H 0x4ed PAIN 0x200 PAIN_L 0x200 PAIN_H 0x201 PAOUT 0x202 PAOUT_L 0x202 PAOUT_H 0x203 PADIR 0x204 PADIR_L 0x204 PADIR_H 0x205 PAREN 0x206 PAREN_L 0x206 PAREN_H 0x207 PADS 0x208 PADS_L 0x208 PADS_H 0x209 PASEL 0x20a PASEL_L 0x20a PASEL_H 0x20b PAIES 0x218 PAIES_L 0x218 PAIES_H 0x219 PAIE 0x21a PAIE_L 0x21a PAIE_H 0x21b PAIFG 0x21c PAIFG_L 0x21c PAIFG_H 0x21d P1IV 0x20e P2IV 0x21e PBIN 0x220 PBIN_L 0x220 PBIN_H 0x221 PBOUT 0x222 PBOUT_L 0x222 PBOUT_H 0x223 PBDIR 0x224 PBDIR_L 0x224 PBDIR_H 0x225 PBREN 0x226 PBREN_L 0x226 PBREN_H 0x227 PBDS 0x228 PBDS_L 0x228 PBDS_H 0x229 PBSEL 0x22a PBSEL_L 0x22a PBSEL_H 0x22b PCIN 0x240 PCIN_L 0x240 PCIN_H 0x241 PCOUT 0x242 PCOUT_L 0x242 PCOUT_H 0x243 PCDIR 0x244 PCDIR_L 0x244 PCDIR_H 0x245 PCREN 0x246 PCREN_L 0x246 PCREN_H 0x247 PCDS 0x248 PCDS_L 0x248 PCDS_H 0x249 PCSEL 0x24a PCSEL_L 0x24a PCSEL_H 0x24b PDIN 0x260 PDIN_L 0x260 PDIN_H 0x261 PDOUT 0x262 PDOUT_L 0x262 PDOUT_H 0x263 PDDIR 0x264 PDDIR_L 0x264 PDDIR_H 0x265 PDREN 0x266 PDREN_L 0x266 PDREN_H 0x267 PDDS 0x268 PDDS_L 0x268 PDDS_H 0x269 PDSEL 0x26a PDSEL_L 0x26a PDSEL_H 0x26b PJIN 0x320 PJIN_L 0x320 PJIN_H 0x321 PJOUT 0x322 PJOUT_L 0x322 PJOUT_H 0x323 PJDIR 0x324 PJDIR_L 0x324 PJDIR_H 0x325 PJREN 0x326 PJREN_L 0x326 PJREN_H 0x327 PJDS 0x328 PJDS_L 0x328 PJDS_H 0x329 PMAPKEYID 0x1c0 PMAPKEYID_L 0x1c0 PMAPKEYID_H 0x1c1 PMAPCTL 0x1c2 PMAPCTL_L 0x1c2 PMAPCTL_H 0x1c3 P4MAP01 0x1e0 P4MAP01_L 0x1e0 P4MAP01_H 0x1e1 P4MAP23 0x1e2 P4MAP23_L 0x1e2 P4MAP23_H 0x1e3 P4MAP45 0x1e4 P4MAP45_L 0x1e4 P4MAP45_H 0x1e5 P4MAP67 0x1e6 P4MAP67_L 0x1e6 P4MAP67_H 0x1e7 PMMCTL0 0x120 PMMCTL0_L 0x120 PMMCTL0_H 0x121 PMMCTL1 0x122 PMMCTL1_L 0x122 PMMCTL1_H 0x123 SVSMHCTL 0x124 SVSMHCTL_L 0x124 SVSMHCTL_H 0x125 SVSMLCTL 0x126 SVSMLCTL_L 0x126 SVSMLCTL_H 0x127 SVSMIO 0x128 SVSMIO_L 0x128 SVSMIO_H 0x129 PMMIFG 0x12c PMMIFG_L 0x12c PMMIFG_H 0x12d PMMRIE 0x12e PMMRIE_L 0x12e PMMRIE_H 0x12f PM5CTL0 0x130 PM5CTL0_L 0x130 PM5CTL0_H 0x131 RCCTL0 0x158 RCCTL0_L 0x158 RCCTL0_H 0x159 REFCTL0 0x1b0 REFCTL0_L 0x1b0 REFCTL0_H 0x1b1 RTCCTL01 0x4a0 RTCCTL01_L 0x4a0 RTCCTL01_H 0x4a1 RTCCTL23 0x4a2 RTCCTL23_L 0x4a2 RTCCTL23_H 0x4a3 RTCPS0CTL 0x4a8 RTCPS0CTL_L 0x4a8 RTCPS0CTL_H 0x4a9 RTCPS1CTL 0x4aa RTCPS1CTL_L 0x4aa RTCPS1CTL_H 0x4ab RTCPS 0x4ac RTCPS_L 0x4ac RTCPS_H 0x4ad RTCIV 0x4ae RTCTIM0 0x4b0 RTCTIM0_L 0x4b0 RTCTIM0_H 0x4b1 RTCTIM1 0x4b2 RTCTIM1_L 0x4b2 RTCTIM1_H 0x4b3 RTCDATE 0x4b4 RTCDATE_L 0x4b4 RTCDATE_H 0x4b5 RTCYEAR 0x4b6 RTCYEAR_L 0x4b6 RTCYEAR_H 0x4b7 RTCAMINHR 0x4b8 RTCAMINHR_L 0x4b8 RTCAMINHR_H 0x4b9 RTCADOWDAY 0x4ba RTCADOWDAY_L 0x4ba RTCADOWDAY_H 0x4bb SFRIE1 0x100 SFRIE1_L 0x100 SFRIE1_H 0x101 SFRIFG1 0x102 SFRIFG1_L 0x102 SFRIFG1_H 0x103 SFRRPCR 0x104 SFRRPCR_L 0x104 SFRRPCR_H 0x105 SYSCTL 0x180 SYSCTL_L 0x180 SYSCTL_H 0x181 SYSBSLC 0x182 SYSBSLC_L 0x182 SYSBSLC_H 0x183 SYSJMBC 0x186 SYSJMBC_L 0x186 SYSJMBC_H 0x187 SYSJMBI0 0x188 SYSJMBI0_L 0x188 SYSJMBI0_H 0x189 SYSJMBI1 0x18a SYSJMBI1_L 0x18a SYSJMBI1_H 0x18b SYSJMBO0 0x18c SYSJMBO0_L 0x18c SYSJMBO0_H 0x18d SYSJMBO1 0x18e SYSJMBO1_L 0x18e SYSJMBO1_H 0x18f SYSBERRIV 0x198 SYSBERRIV_L 0x198 SYSBERRIV_H 0x199 SYSUNIV 0x19a SYSUNIV_L 0x19a SYSUNIV_H 0x19b SYSSNIV 0x19c SYSSNIV_L 0x19c SYSSNIV_H 0x19d SYSRSTIV 0x19e SYSRSTIV_L 0x19e SYSRSTIV_H 0x19f TA0CTL 0x340 TA0CCTL0 0x342 TA0CCTL1 0x344 TA0CCTL2 0x346 TA0CCTL3 0x348 TA0CCTL4 0x34a TA0R 0x350 TA0CCR0 0x352 TA0CCR1 0x354 TA0CCR2 0x356 TA0CCR3 0x358 TA0CCR4 0x35a TA0IV 0x36e TA0EX0 0x360 TA1CTL 0x380 TA1CCTL0 0x382 TA1CCTL1 0x384 TA1CCTL2 0x386 TA1R 0x390 TA1CCR0 0x392 TA1CCR1 0x394 TA1CCR2 0x396 TA1IV 0x3ae TA1EX0 0x3a0 TA2CTL 0x400 TA2CCTL0 0x402 TA2CCTL1 0x404 TA2CCTL2 0x406 TA2R 0x410 TA2CCR0 0x412 TA2CCR1 0x414 TA2CCR2 0x416 TA2IV 0x42e TA2EX0 0x420 TB0CTL 0x3c0 TB0CCTL0 0x3c2 TB0CCTL1 0x3c4 TB0CCTL2 0x3c6 TB0CCTL3 0x3c8 TB0CCTL4 0x3ca TB0CCTL5 0x3cc TB0CCTL6 0x3ce TB0R 0x3d0 TB0CCR0 0x3d2 TB0CCR1 0x3d4 TB0CCR2 0x3d6 TB0CCR3 0x3d8 TB0CCR4 0x3da TB0CCR5 0x3dc TB0CCR6 0x3de TB0EX0 0x3e0 TB0IV 0x3ee USBKEYID 0x900 USBKEYID_L 0x900 USBKEYID_H 0x901 USBCNF 0x902 USBCNF_L 0x902 USBCNF_H 0x903 USBPHYCTL 0x904 USBPHYCTL_L 0x904 USBPHYCTL_H 0x905 USBPWRCTL 0x908 USBPWRCTL_L 0x908 USBPWRCTL_H 0x909 USBPLLCTL 0x910 USBPLLCTL_L 0x910 USBPLLCTL_H 0x911 USBPLLDIVB 0x912 USBPLLDIVB_L 0x912 USBPLLDIVB_H 0x913 USBPLLIR 0x914 USBPLLIR_L 0x914 USBPLLIR_H 0x915 USBIEPCNF_0 0x920 USBIEPCNT_0 0x921 USBOEPCNF_0 0x922 USBOEPCNT_0 0x923 USBIEPIE 0x92e USBOEPIE 0x92f USBIEPIFG 0x930 USBOEPIFG 0x931 USBVECINT 0x932 USBVECINT_L 0x932 USBVECINT_H 0x933 USBMAINT 0x936 USBMAINT_L 0x936 USBMAINT_H 0x937 USBTSREG 0x938 USBTSREG_L 0x938 USBTSREG_H 0x939 USBFN 0x93a USBFN_L 0x93a USBFN_H 0x93b USBCTL 0x93c USBIE 0x93d USBIFG 0x93e USBFUNADR 0x93f USBIEPSIZXY_7 0x23ff USBIEPBCTY_7 0x23fe USBIEPBBAY_7 0x23fd USBIEPBCTX_7 0x23fa USBIEPBBAX_7 0x23f9 USBIEPCNF_7 0x23f8 USBIEPSIZXY_6 0x23f7 USBIEPBCTY_6 0x23f6 USBIEPBBAY_6 0x23f5 USBIEPBCTX_6 0x23f2 USBIEPBBAX_6 0x23f1 USBIEPCNF_6 0x23f0 USBIEPSIZXY_5 0x23ef USBIEPBCTY_5 0x23ee USBIEPBBAY_5 0x23ed USBIEPBCTX_5 0x23ea USBIEPBBAX_5 0x23e9 USBIEPCNF_5 0x23e8 USBIEPSIZXY_4 0x23e7 USBIEPBCTY_4 0x23e6 USBIEPBBAY_4 0x23e5 USBIEPBCTX_4 0x23e2 USBIEPBBAX_4 0x23e1 USBIEPCNF_4 0x23e0 USBIEPSIZXY_3 0x23df USBIEPBCTY_3 0x23de USBIEPBBAY_3 0x23dd USBIEPBCTX_3 0x23da USBIEPBBAX_3 0x23d9 USBIEPCNF_3 0x23d8 USBIEPSIZXY_2 0x23d7 USBIEPBCTY_2 0x23d6 USBIEPBBAY_2 0x23d5 USBIEPBCTX_2 0x23d2 USBIEPBBAX_2 0x23d1 USBIEPCNF_2 0x23d0 USBIEPSIZXY_1 0x23cf USBIEPBCTY_1 0x23ce USBIEPBBAY_1 0x23cd USBIEPBCTX_1 0x23ca USBIEPBBAX_1 0x23c9 USBIEPCNF_1 0x23c8 USBOEPSIZXY_7 0x23bf USBOEPBCTY_7 0x23be USBOEPBBAY_7 0x23bd USBOEPBCTX_7 0x23ba USBOEPBBAX_7 0x23b9 USBOEPCNF_7 0x23b8 USBOEPSIZXY_6 0x23b7 USBOEPBCTY_6 0x23b6 USBOEPBBAY_6 0x23b5 USBOEPBCTX_6 0x23b2 USBOEPBBAX_6 0x23b1 USBOEPCNF_6 0x23b0 USBOEPSIZXY_5 0x23af USBOEPBCTY_5 0x23ae USBOEPBBAY_5 0x23ad USBOEPBCTX_5 0x23aa USBOEPBBAX_5 0x23a9 USBOEPCNF_5 0x23a8 USBOEPSIZXY_4 0x23a7 USBOEPBCTY_4 0x23a6 USBOEPBBAY_4 0x23a5 USBOEPBCTX_4 0x23a2 USBOEPBBAX_4 0x23a1 USBOEPCNF_4 0x23a0 USBOEPSIZXY_3 0x239f USBOEPBCTY_3 0x239e USBOEPBBAY_3 0x239d USBOEPBCTX_3 0x239a USBOEPBBAX_3 0x2399 USBOEPCNF_3 0x2398 USBOEPSIZXY_2 0x2397 USBOEPBCTY_2 0x2396 USBOEPBBAY_2 0x2395 USBOEPBCTX_2 0x2392 USBOEPBBAX_2 0x2391 USBOEPCNF_2 0x2390 USBOEPSIZXY_1 0x238f USBOEPBCTY_1 0x238e USBOEPBBAY_1 0x238d USBOEPBCTX_1 0x238a USBOEPBBAX_1 0x2389 USBOEPCNF_1 0x2388 USBSUBLK 0x2380 USBIEP0BUF 0x2378 USBOEP0BUF 0x2370 USBTOPBUFF 0x236f USBSTABUFF 0x1c00 UCSCTL0 0x160 UCSCTL0_L 0x160 UCSCTL0_H 0x161 UCSCTL1 0x162 UCSCTL1_L 0x162 UCSCTL1_H 0x163 UCSCTL2 0x164 UCSCTL2_L 0x164 UCSCTL2_H 0x165 UCSCTL3 0x166 UCSCTL3_L 0x166 UCSCTL3_H 0x167 UCSCTL4 0x168 UCSCTL4_L 0x168 UCSCTL4_H 0x169 UCSCTL5 0x16a UCSCTL5_L 0x16a UCSCTL5_H 0x16b UCSCTL6 0x16c UCSCTL6_L 0x16c UCSCTL6_H 0x16d UCSCTL7 0x16e UCSCTL7_L 0x16e UCSCTL7_H 0x16f UCSCTL8 0x170 UCSCTL8_L 0x170 UCSCTL8_H 0x171 UCA0CTLW0 0x5c0 UCA0CTLW0_L 0x5c0 UCA0CTLW0_H 0x5c1 UCA0BRW 0x5c6 UCA0BRW_L 0x5c6 UCA0BRW_H 0x5c7 UCA0MCTL 0x5c8 UCA0STAT 0x5ca UCA0RXBUF 0x5cc UCA0TXBUF 0x5ce UCA0ABCTL 0x5d0 UCA0IRCTL 0x5d2 UCA0IRCTL_L 0x5d2 UCA0IRCTL_H 0x5d3 UCA0ICTL 0x5dc UCA0ICTL_L 0x5dc UCA0ICTL_H 0x5dd UCA0IV 0x5de UCB0CTLW0 0x5e0 UCB0CTLW0_L 0x5e0 UCB0CTLW0_H 0x5e1 UCB0BRW 0x5e6 UCB0BRW_L 0x5e6 UCB0BRW_H 0x5e7 UCB0STAT 0x5ea UCB0RXBUF 0x5ec UCB0TXBUF 0x5ee UCB0I2COA 0x5f0 UCB0I2COA_L 0x5f0 UCB0I2COA_H 0x5f1 UCB0I2CSA 0x5f2 UCB0I2CSA_L 0x5f2 UCB0I2CSA_H 0x5f3 UCB0ICTL 0x5fc UCB0ICTL_L 0x5fc UCB0ICTL_H 0x5fd UCB0IV 0x5fe UCA1CTLW0 0x600 UCA1CTLW0_L 0x600 UCA1CTLW0_H 0x601 UCA1BRW 0x606 UCA1BRW_L 0x606 UCA1BRW_H 0x607 UCA1MCTL 0x608 UCA1STAT 0x60a UCA1RXBUF 0x60c UCA1TXBUF 0x60e UCA1ABCTL 0x610 UCA1IRCTL 0x612 UCA1IRCTL_L 0x612 UCA1IRCTL_H 0x613 UCA1ICTL 0x61c UCA1ICTL_L 0x61c UCA1ICTL_H 0x61d UCA1IV 0x61e UCB1CTLW0 0x620 UCB1CTLW0_L 0x620 UCB1CTLW0_H 0x621 UCB1BRW 0x626 UCB1BRW_L 0x626 UCB1BRW_H 0x627 UCB1STAT 0x62a UCB1RXBUF 0x62c UCB1TXBUF 0x62e UCB1I2COA 0x630 UCB1I2COA_L 0x630 UCB1I2COA_H 0x631 UCB1I2CSA 0x632 UCB1I2CSA_L 0x632 UCB1I2CSA_H 0x633 UCB1ICTL 0x63c UCB1ICTL_L 0x63c UCB1ICTL_H 0x63d UCB1IV 0x63e WDTCTL 0x15c WDTCTL_L 0x15c WDTCTL_H 0x15d __STACK_SIZE 0x0 __STACK_END 0x4400 __c_args__ 0xffffffff __TI_pprof_out_hndl 0xffffffff __TI_prof_data_start 0xffffffff __TI_prof_data_size 0xffffffff RESET 0x4400 __TI_int41 0xffd2 __TI_int42 0xffd4 __TI_int43 0xffd6 __TI_int44 0xffd8 __TI_int45 0xffda __TI_int46 0xffdc __TI_int47 0xffde __TI_int48 0xffe0 __TI_int49 0xffe2 __TI_int50 0xffe4 __TI_int51 0xffe6 __TI_int52 0xffe8 __TI_int53 0xffea __TI_int54 0xffec __TI_int55 0xffee __TI_int56 0xfff0 __TI_int57 0xfff2 __TI_int58 0xfff4 __TI_int59 0xfff6 __TI_int60 0xfff8 __TI_int61 0xfffa __TI_int62 0xfffc __TI_ISR_TRAP 0x4474 Link successful