$comment File created using the following command: vcd file Lab1Pt1.msim.vcd -direction $end $date Thu Feb 25 20:02:14 2021 $end $version ModelSim Version 10.5b $end $timescale 1ps $end $scope module Lab1Pt1_vlg_vec_tst $end $var reg 10 ! SW [9:0] $end $var wire 1 " LEDR [9] $end $var wire 1 # LEDR [8] $end $var wire 1 $ LEDR [7] $end $var wire 1 % LEDR [6] $end $var wire 1 & LEDR [5] $end $var wire 1 ' LEDR [4] $end $var wire 1 ( LEDR [3] $end $var wire 1 ) LEDR [2] $end $var wire 1 * LEDR [1] $end $var wire 1 + LEDR [0] $end $scope module i1 $end $var wire 1 , gnd $end $var wire 1 - vcc $end $var wire 1 . unknown $end $var tri1 1 / devclrn $end $var tri1 1 0 devpor $end $var tri1 1 1 devoe $end $var wire 1 2 ~QUARTUS_CREATED_GND~I_combout $end $var wire 1 3 ~QUARTUS_CREATED_UNVM~~busy $end $var wire 1 4 ~QUARTUS_CREATED_ADC1~~eoc $end $var wire 1 5 ~QUARTUS_CREATED_ADC2~~eoc $end $var wire 1 6 LEDR[0]~output_o $end $var wire 1 7 LEDR[1]~output_o $end $var wire 1 8 LEDR[2]~output_o $end $var wire 1 9 LEDR[3]~output_o $end $var wire 1 : LEDR[4]~output_o $end $var wire 1 ; LEDR[5]~output_o $end $var wire 1 < LEDR[6]~output_o $end $var wire 1 = LEDR[7]~output_o $end $var wire 1 > LEDR[8]~output_o $end $var wire 1 ? LEDR[9]~output_o $end $var wire 1 @ SW[0]~input_o $end $var wire 1 A SW[1]~input_o $end $var wire 1 B SW[2]~input_o $end $var wire 1 C SW[3]~input_o $end $var wire 1 D SW[4]~input_o $end $var wire 1 E SW[5]~input_o $end $var wire 1 F SW[6]~input_o $end $var wire 1 G SW[7]~input_o $end $var wire 1 H SW[8]~input_o $end $var wire 1 I SW[9]~input_o $end $upscope $end $upscope $end $enddefinitions $end #0 $dumpvars b0 ! 0+ 0* 0) 0( 0' 0& 0% 0$ 0# 0" 0, 1- x. 1/ 10 11 02 z3 z4 z5 06 07 08 09 0: 0; 0< 0= 0> 0? 0@ 0A 0B 0C 0D 0E 0F 0G 0H 0I $end #10000 b1000000000 ! 1I 1? 1" #20000 b0 ! 0I 0? 0" #30000 b100000000 ! b110000000 ! b111000000 ! b111100000 ! b111110000 ! b111111000 ! b111111100 ! b111111110 ! b111111111 ! b1111111111 ! 1I 1H 1G 1F 1E 1D 1C 1B 1A 1@ 16 17 18 19 1: 1; 1< 1= 1> 1? 1" 1# 1$ 1% 1& 1' 1( 1) 1* 1+ #40000 b1011111111 ! b1001111111 ! b1000111111 ! b1000011111 ! b1000001111 ! b1000000111 ! b1000000011 ! b1000000001 ! b1000000000 ! b0 ! 0I 0H 0G 0F 0E 0D 0C 0B 0A 0@ 06 07 08 09 0: 0; 0< 0= 0> 0? 0" 0# 0$ 0% 0& 0' 0( 0) 0* 0+ #60000 b100000 ! 1E 1; 1& #130000 b0 ! 0E 0; 0& #200000 b1000000000 ! 1I 1? 1" #260000 b1100000000 ! b100000000 ! 0I 1H 1> 0? 0" 1# #300000 b110000000 ! b10000000 ! 0H 1G 1= 0> 0# 1$ #340000 b0 ! 0G 0= 0$ #350000 b1000000 ! 1F 1< 1% #370000 b1100000 ! b100000 ! 0F 1E 1; 0< 0% 1& #390000 b110000 ! b10000 ! 0E 1D 1: 0; 0& 1' #410000 b11000 ! b1000 ! 0D 1C 19 0: 0' 1( #430000 b1100 ! b100 ! 0C 1B 18 09 0( 1) #450000 b110 ! b10 ! 0B 1A 17 08 0) 1* #470000 b11 ! b1 ! 0A 1@ 16 07 0* 1+ #490000 b0 ! 0@ 06 0+ #1000000