Analysis & Synthesis Status : Successful - Thu Jan 21 19:29:34 2021 Quartus Prime Version : 16.1.0 Build 196 10/24/2016 SJ Lite Edition Revision Name : class1-21-21 Top-level Entity Name : class1 Family : MAX 10 Total logic elements : 1 Total combinational functions : 1 Dedicated logic registers : 0 Total registers : 0 Total pins : 3 Total virtual pins : 0 Total memory bits : 0 Embedded Multiplier 9-bit elements : 0 Total PLLs : 0 UFM blocks : 0 ADC blocks : 0