module adder(SUM, COUT, A, B, CIN); input A, B, CIN; output SUM, COUT; wire x; xor x0(x, A, B); xor x1(SUM, x, CIN); assign COUT=x? CIN: B; endmodule module