# -------------------------------------------------------------------------- # # # Copyright (C) 2020 Intel Corporation. All rights reserved. # Your use of Intel Corporation's design tools, logic functions # and other software and tools, and any partner logic # functions, and any output files from any of the foregoing # (including device programming or simulation files), and any # associated documentation or information are expressly subject # to the terms and conditions of the Intel Program License # Subscription Agreement, the Intel Quartus Prime License Agreement, # the Intel FPGA IP License Agreement, or other applicable license # agreement, including, without limitation, that your use is for # the sole purpose of programming logic devices manufactured by # Intel and sold by Intel or its authorized distributors. Please # refer to the applicable agreement for further details, at # https://fpgasoftware.intel.com/eula. # # -------------------------------------------------------------------------- # # # Quartus Prime # Version 20.1.1 Build 720 11/11/2020 SJ Lite Edition # Date created = 16:26:05 April 21, 2021 # # -------------------------------------------------------------------------- # # # Notes: # # 1) The default values for assignments are stored in the file: # part5_assignment_defaults.qdf # If this file doesn't exist, see file: # assignment_defaults.qdf # # 2) Altera recommends that you do not modify this file. This # file is updated automatically by the Quartus Prime software # and any changes you make may be lost or overwritten. # # -------------------------------------------------------------------------- # set_global_assignment -name FAMILY "MAX 10" set_global_assignment -name DEVICE 10M50DAF484C7G set_global_assignment -name TOP_LEVEL_ENTITY part5 set_global_assignment -name ORIGINAL_QUARTUS_VERSION 20.1.1 set_global_assignment -name PROJECT_CREATION_TIME_DATE "16:26:05 APRIL 21, 2021" set_global_assignment -name LAST_QUARTUS_VERSION "20.1.1 Lite Edition" set_global_assignment -name PROJECT_OUTPUT_DIRECTORY output_files set_global_assignment -name MIN_CORE_JUNCTION_TEMP 0 set_global_assignment -name MAX_CORE_JUNCTION_TEMP 85 set_global_assignment -name ERROR_CHECK_FREQUENCY_DIVISOR 256 set_global_assignment -name VERILOG_FILE part5.v set_instance_assignment -name PARTITION_HIERARCHY root_partition -to | -entity Lab1Pt1 -section_id Top set_location_assignment PIN_A8 -to LEDR[0] set_location_assignment PIN_A9 -to LEDR[1] set_location_assignment PIN_A10 -to LEDR[2] set_location_assignment PIN_B10 -to LEDR[3] set_location_assignment PIN_D13 -to LEDR[4] set_location_assignment PIN_C13 -to LEDR[5] set_location_assignment PIN_E14 -to LEDR[6] set_location_assignment PIN_D14 -to LEDR[7] set_location_assignment PIN_A11 -to LEDR[8] set_location_assignment PIN_B11 -to LEDR[9] set_location_assignment PIN_C10 -to SW[0] set_location_assignment PIN_C11 -to SW[1] set_location_assignment PIN_D12 -to SW[2] set_location_assignment PIN_C12 -to SW[3] set_location_assignment PIN_A12 -to SW[4] set_location_assignment PIN_B12 -to SW[5] set_location_assignment PIN_A13 -to SW[6] set_location_assignment PIN_A14 -to SW[7] set_location_assignment PIN_B14 -to SW[8] set_location_assignment PIN_F15 -to SW[9] set_instance_assignment -name PARTITION_HIERARCHY root_partition -to | -entity part4 -section_id Top set_location_assignment PIN_C14 -to HEX0[0] set_location_assignment PIN_E15 -to HEX0[1] set_location_assignment PIN_C15 -to HEX0[2] set_location_assignment PIN_C16 -to HEX0[3] set_location_assignment PIN_E16 -to HEX0[4] set_location_assignment PIN_D17 -to HEX0[5] set_location_assignment PIN_C17 -to HEX0[6] set_location_assignment PIN_C18 -to HEX1[0] set_location_assignment PIN_D18 -to HEX1[1] set_location_assignment PIN_B20 -to HEX2[0] set_location_assignment PIN_A20 -to HEX2[1] set_location_assignment PIN_F21 -to HEX3[0] set_location_assignment PIN_E22 -to HEX3[1] set_location_assignment PIN_E18 -to HEX1[2] set_location_assignment PIN_B16 -to HEX1[3] set_location_assignment PIN_A17 -to HEX1[4] set_location_assignment PIN_A18 -to HEX1[5] set_location_assignment PIN_B17 -to HEX1[6] set_location_assignment PIN_B19 -to HEX2[2] set_location_assignment PIN_A21 -to HEX2[3] set_location_assignment PIN_B21 -to HEX2[4] set_location_assignment PIN_C22 -to HEX2[5] set_location_assignment PIN_B22 -to HEX2[6] set_location_assignment PIN_E21 -to HEX3[2] set_location_assignment PIN_C19 -to HEX3[3] set_location_assignment PIN_C20 -to HEX3[4] set_location_assignment PIN_D19 -to HEX3[5] set_location_assignment PIN_E17 -to HEX3[6] set_global_assignment -name PARTITION_NETLIST_TYPE SOURCE -section_id Top set_global_assignment -name PARTITION_FITTER_PRESERVATION_LEVEL PLACEMENT_AND_ROUTING -section_id Top set_global_assignment -name PARTITION_COLOR 16764057 -section_id Top set_global_assignment -name PARTITION_NETLIST_TYPE SOURCE -entity Lab1Pt1 -section_id Top set_global_assignment -name PARTITION_FITTER_PRESERVATION_LEVEL PLACEMENT_AND_ROUTING -entity Lab1Pt1 -section_id Top set_global_assignment -name PARTITION_COLOR 16764057 -entity Lab1Pt1 -section_id Top set_global_assignment -name PARTITION_NETLIST_TYPE SOURCE -entity part4 -section_id Top set_global_assignment -name PARTITION_FITTER_PRESERVATION_LEVEL PLACEMENT_AND_ROUTING -entity part4 -section_id Top set_global_assignment -name PARTITION_COLOR 16764057 -entity part4 -section_id Top set_instance_assignment -name PARTITION_HIERARCHY root_partition -to | -section_id Top