1
0
UAHCode/EE203/Noah Woodlee/LAB1/Part 4/output_files/part4.map.summary

17 lines
482 B
Plaintext
Raw Permalink Normal View History

2022-08-28 21:12:16 +00:00
Analysis & Synthesis Status : Successful - Thu Apr 08 19:14:36 2021
Quartus Prime Version : 16.1.0 Build 196 10/24/2016 SJ Lite Edition
Revision Name : part4
Top-level Entity Name : part4
Family : MAX 10
Total logic elements : 2
Total combinational functions : 2
Dedicated logic registers : 0
Total registers : 0
Total pins : 19
Total virtual pins : 0
Total memory bits : 0
Embedded Multiplier 9-bit elements : 0
Total PLLs : 0
UFM blocks : 0
ADC blocks : 0