1
0
UAHCode/EE203/Noah Woodlee/Lab2/part3/output_files/part3.map.summary

17 lines
466 B
Plaintext
Raw Normal View History

2022-08-28 21:12:16 +00:00
Analysis & Synthesis Status : Successful - Sun Apr 25 00:25:13 2021
Quartus Prime Version : 20.1.1 Build 720 11/11/2020 SJ Lite Edition
Revision Name : part3
Top-level Entity Name : part3
Family : MAX 10
Total logic elements : 9
Total combinational functions : 9
Dedicated logic registers : 0
Total registers : 0
Total pins : 14
Total virtual pins : 0
Total memory bits : 0
Embedded Multiplier 9-bit elements : 0
Total PLLs : 0
UFM blocks : 0
ADC blocks : 0