1
0
UAHCode/EE203/Noah Woodlee/Lab2/part2/output_files/part2.map.summary
2022-08-28 16:12:16 -05:00

17 lines
466 B
Plaintext

Analysis & Synthesis Status : Successful - Sat Apr 24 19:19:28 2021
Quartus Prime Version : 20.1.1 Build 720 11/11/2020 SJ Lite Edition
Revision Name : part2
Top-level Entity Name : part2
Family : MAX 10
Total logic elements : 8
Total combinational functions : 8
Dedicated logic registers : 0
Total registers : 0
Total pins : 18
Total virtual pins : 0
Total memory bits : 0
Embedded Multiplier 9-bit elements : 0
Total PLLs : 0
UFM blocks : 0
ADC blocks : 0