1
0
UAHCode/EE203/Noah Woodlee/Lab2/part3/part3.v.bak
2022-08-28 16:12:16 -05:00

15 lines
212 B
Coq

module adder(SUM, COUT, A, B, CIN);
input A, B, CIN;
output SUM, COUT;
wire x;
xor x0(x, A, B);
xor x1(SUM, x, CIN);
assign COUT=x? CIN: B;
endmodule
module