added more code
This commit is contained in:
BIN
EE203/Noah Woodlee/Lab2/part4/db/part4.(0).cnf.cdb
Normal file
BIN
EE203/Noah Woodlee/Lab2/part4/db/part4.(0).cnf.cdb
Normal file
Binary file not shown.
BIN
EE203/Noah Woodlee/Lab2/part4/db/part4.(0).cnf.hdb
Normal file
BIN
EE203/Noah Woodlee/Lab2/part4/db/part4.(0).cnf.hdb
Normal file
Binary file not shown.
BIN
EE203/Noah Woodlee/Lab2/part4/db/part4.(1).cnf.cdb
Normal file
BIN
EE203/Noah Woodlee/Lab2/part4/db/part4.(1).cnf.cdb
Normal file
Binary file not shown.
BIN
EE203/Noah Woodlee/Lab2/part4/db/part4.(1).cnf.hdb
Normal file
BIN
EE203/Noah Woodlee/Lab2/part4/db/part4.(1).cnf.hdb
Normal file
Binary file not shown.
BIN
EE203/Noah Woodlee/Lab2/part4/db/part4.(2).cnf.cdb
Normal file
BIN
EE203/Noah Woodlee/Lab2/part4/db/part4.(2).cnf.cdb
Normal file
Binary file not shown.
BIN
EE203/Noah Woodlee/Lab2/part4/db/part4.(2).cnf.hdb
Normal file
BIN
EE203/Noah Woodlee/Lab2/part4/db/part4.(2).cnf.hdb
Normal file
Binary file not shown.
BIN
EE203/Noah Woodlee/Lab2/part4/db/part4.(3).cnf.cdb
Normal file
BIN
EE203/Noah Woodlee/Lab2/part4/db/part4.(3).cnf.cdb
Normal file
Binary file not shown.
BIN
EE203/Noah Woodlee/Lab2/part4/db/part4.(3).cnf.hdb
Normal file
BIN
EE203/Noah Woodlee/Lab2/part4/db/part4.(3).cnf.hdb
Normal file
Binary file not shown.
BIN
EE203/Noah Woodlee/Lab2/part4/db/part4.(4).cnf.cdb
Normal file
BIN
EE203/Noah Woodlee/Lab2/part4/db/part4.(4).cnf.cdb
Normal file
Binary file not shown.
BIN
EE203/Noah Woodlee/Lab2/part4/db/part4.(4).cnf.hdb
Normal file
BIN
EE203/Noah Woodlee/Lab2/part4/db/part4.(4).cnf.hdb
Normal file
Binary file not shown.
BIN
EE203/Noah Woodlee/Lab2/part4/db/part4.(5).cnf.cdb
Normal file
BIN
EE203/Noah Woodlee/Lab2/part4/db/part4.(5).cnf.cdb
Normal file
Binary file not shown.
BIN
EE203/Noah Woodlee/Lab2/part4/db/part4.(5).cnf.hdb
Normal file
BIN
EE203/Noah Woodlee/Lab2/part4/db/part4.(5).cnf.hdb
Normal file
Binary file not shown.
7
EE203/Noah Woodlee/Lab2/part4/db/part4.asm.qmsg
Normal file
7
EE203/Noah Woodlee/Lab2/part4/db/part4.asm.qmsg
Normal file
@ -0,0 +1,7 @@
|
||||
{ "Info" "IQEXE_SEPARATOR" "" "*******************************************************************" { } { } 3 0 "*******************************************************************" 0 0 "Design Software" 0 -1 1619378546180 ""}
|
||||
{ "Info" "IQEXE_START_BANNER_PRODUCT" "Assembler Quartus Prime " "Running Quartus Prime Assembler" { { "Info" "IQEXE_START_BANNER_VERSION" "Version 20.1.1 Build 720 11/11/2020 SJ Lite Edition " "Version 20.1.1 Build 720 11/11/2020 SJ Lite Edition" { } { } 0 0 "%1!s!" 0 0 "Design Software" 0 -1 1619378546181 ""} { "Info" "IQEXE_START_BANNER_TIME" "Sun Apr 25 14:22:25 2021 " "Processing started: Sun Apr 25 14:22:25 2021" { } { } 0 0 "Processing started: %1!s!" 0 0 "Design Software" 0 -1 1619378546181 ""} } { } 4 0 "Running %2!s! %1!s!" 0 0 "Assembler" 0 -1 1619378546181 ""}
|
||||
{ "Info" "IQEXE_START_BANNER_COMMANDLINE" "quartus_asm --read_settings_files=off --write_settings_files=off part4 -c part4 " "Command: quartus_asm --read_settings_files=off --write_settings_files=off part4 -c part4" { } { } 0 0 "Command: %1!s!" 0 0 "Assembler" 0 -1 1619378546182 ""}
|
||||
{ "Warning" "WQCU_PARALLEL_USER_SHOULD_SPECIFY_NUM_PROC" "" "Number of processors has not been specified which may cause overloading on shared machines. Set the global assignment NUM_PARALLEL_PROCESSORS in your QSF to an appropriate value for best performance." { } { } 0 18236 "Number of processors has not been specified which may cause overloading on shared machines. Set the global assignment NUM_PARALLEL_PROCESSORS in your QSF to an appropriate value for best performance." 0 0 "Assembler" 0 -1 1619378546573 ""}
|
||||
{ "Info" "IASM_ASM_GENERATING_POWER_DATA" "" "Writing out detailed assembly data for power analysis" { } { } 0 115031 "Writing out detailed assembly data for power analysis" 0 0 "Assembler" 0 -1 1619378549402 ""}
|
||||
{ "Info" "IASM_ASM_GENERATING_PROGRAMMING_FILES" "" "Assembler is generating device programming files" { } { } 0 115030 "Assembler is generating device programming files" 0 0 "Assembler" 0 -1 1619378549503 ""}
|
||||
{ "Info" "IQEXE_ERROR_COUNT" "Assembler 0 s 1 Quartus Prime " "Quartus Prime Assembler was successful. 0 errors, 1 warning" { { "Info" "IQEXE_END_PEAK_VSIZE_MEMORY" "356 " "Peak virtual memory: 356 megabytes" { } { } 0 0 "Peak virtual memory: %1!s! megabytes" 0 0 "Design Software" 0 -1 1619378550733 ""} { "Info" "IQEXE_END_BANNER_TIME" "Sun Apr 25 14:22:30 2021 " "Processing ended: Sun Apr 25 14:22:30 2021" { } { } 0 0 "Processing ended: %1!s!" 0 0 "Design Software" 0 -1 1619378550733 ""} { "Info" "IQEXE_ELAPSED_TIME" "00:00:05 " "Elapsed time: 00:00:05" { } { } 0 0 "Elapsed time: %1!s!" 0 0 "Design Software" 0 -1 1619378550733 ""} { "Info" "IQEXE_ELAPSED_CPU_TIME" "00:00:05 " "Total CPU time (on all processors): 00:00:05" { } { } 0 0 "Total CPU time (on all processors): %1!s!" 0 0 "Design Software" 0 -1 1619378550733 ""} } { } 0 0 "%6!s! %1!s! was successful. %2!d! error%3!s!, %4!d! warning%5!s!" 0 0 "Assembler" 0 -1 1619378550733 ""}
|
BIN
EE203/Noah Woodlee/Lab2/part4/db/part4.asm.rdb
Normal file
BIN
EE203/Noah Woodlee/Lab2/part4/db/part4.asm.rdb
Normal file
Binary file not shown.
BIN
EE203/Noah Woodlee/Lab2/part4/db/part4.asm_labs.ddb
Normal file
BIN
EE203/Noah Woodlee/Lab2/part4/db/part4.asm_labs.ddb
Normal file
Binary file not shown.
5
EE203/Noah Woodlee/Lab2/part4/db/part4.cbx.xml
Normal file
5
EE203/Noah Woodlee/Lab2/part4/db/part4.cbx.xml
Normal file
@ -0,0 +1,5 @@
|
||||
<?xml version="1.0" ?>
|
||||
<LOG_ROOT>
|
||||
<PROJECT NAME="part4">
|
||||
</PROJECT>
|
||||
</LOG_ROOT>
|
BIN
EE203/Noah Woodlee/Lab2/part4/db/part4.cmp.bpm
Normal file
BIN
EE203/Noah Woodlee/Lab2/part4/db/part4.cmp.bpm
Normal file
Binary file not shown.
BIN
EE203/Noah Woodlee/Lab2/part4/db/part4.cmp.cdb
Normal file
BIN
EE203/Noah Woodlee/Lab2/part4/db/part4.cmp.cdb
Normal file
Binary file not shown.
BIN
EE203/Noah Woodlee/Lab2/part4/db/part4.cmp.hdb
Normal file
BIN
EE203/Noah Woodlee/Lab2/part4/db/part4.cmp.hdb
Normal file
Binary file not shown.
BIN
EE203/Noah Woodlee/Lab2/part4/db/part4.cmp.idb
Normal file
BIN
EE203/Noah Woodlee/Lab2/part4/db/part4.cmp.idb
Normal file
Binary file not shown.
65
EE203/Noah Woodlee/Lab2/part4/db/part4.cmp.logdb
Normal file
65
EE203/Noah Woodlee/Lab2/part4/db/part4.cmp.logdb
Normal file
@ -0,0 +1,65 @@
|
||||
v1
|
||||
IO_RULES,NUM_CLKS_NOT_EXCEED_CLKS_AVAILABLE,INAPPLICABLE,IO_000002,Capacity Checks,Number of clocks in an I/O bank should not exceed the number of clocks available.,Critical,No Global Signal assignments found.,,I/O,,
|
||||
IO_RULES,NUM_PINS_NOT_EXCEED_LOC_AVAILABLE,PASS,IO_000001,Capacity Checks,Number of pins in an I/O bank should not exceed the number of locations available.,Critical,0 such failures found.,,I/O,,
|
||||
IO_RULES,NUM_VREF_NOT_EXCEED_LOC_AVAILABLE,PASS,IO_000003,Capacity Checks,Number of pins in a Vrefgroup should not exceed the number of locations available.,Critical,0 such failures found.,,I/O,,
|
||||
IO_RULES,IO_BANK_SUPPORT_VCCIO,INAPPLICABLE,IO_000004,Voltage Compatibility Checks,The I/O bank should support the requested VCCIO.,Critical,No IOBANK_VCCIO assignments found.,,I/O,,
|
||||
IO_RULES,IO_BANK_NOT_HAVE_COMPETING_VREF,INAPPLICABLE,IO_000005,Voltage Compatibility Checks,The I/O bank should not have competing VREF values.,Critical,No VREF I/O Standard assignments found.,,I/O,,
|
||||
IO_RULES,IO_BANK_NOT_HAVE_COMPETING_VCCIO,PASS,IO_000006,Voltage Compatibility Checks,The I/O bank should not have competing VCCIO values.,Critical,0 such failures found.,,I/O,,
|
||||
IO_RULES,CHECK_UNAVAILABLE_LOC,PASS,IO_000007,Valid Location Checks,Checks for unavailable locations.,Critical,0 such failures found.,,I/O,,
|
||||
IO_RULES,CHECK_RESERVED_LOC,INAPPLICABLE,IO_000008,Valid Location Checks,Checks for reserved locations.,Critical,No reserved LogicLock region found.,,I/O,,
|
||||
IO_RULES,OCT_SUPPORTS_SLEW_RATE,INAPPLICABLE,IO_000047,I/O Properties Checks for One I/O,On Chip Termination and Slew Rate should not be used at the same time.,Critical,No Slew Rate assignments found.,,I/O,,
|
||||
IO_RULES,LOC_SUPPORTS_SLEW_RATE,INAPPLICABLE,IO_000046,I/O Properties Checks for One I/O,The location should support the requested Slew Rate value.,Critical,No Slew Rate assignments found.,,I/O,,
|
||||
IO_RULES,IO_STD_SUPPORTS_SLEW_RATE,INAPPLICABLE,IO_000045,I/O Properties Checks for One I/O,The I/O standard should support the requested Slew Rate value.,Critical,No Slew Rate assignments found.,,I/O,,
|
||||
IO_RULES,WEAK_PULL_UP_AND_BUS_HOLD_NOT_USED_SIMULTANEOUSLY,INAPPLICABLE,IO_000027,I/O Properties Checks for One I/O,Weak Pull Up and Bus Hold should not be used at the same time.,Critical,No Enable Bus-Hold Circuitry or Weak Pull-Up Resistor assignments found.,,I/O,,
|
||||
IO_RULES,OCT_AND_CURRENT_STRENGTH_NOT_USED_SIMULTANEOUSLY,INAPPLICABLE,IO_000026,I/O Properties Checks for One I/O,On Chip Termination and Current Strength should not be used at the same time.,Critical,No Current Strength assignments found.,,I/O,,
|
||||
IO_RULES,IO_DIR_SUPPORT_OCT_VALUE,PASS,IO_000024,I/O Properties Checks for One I/O,The I/O direction should support the On Chip Termination value.,Critical,0 such failures found.,,I/O,,
|
||||
IO_RULES,IO_STD_SUPPORT_OPEN_DRAIN_VALUE,INAPPLICABLE,IO_000023,I/O Properties Checks for One I/O,The I/O standard should support the Open Drain value.,Critical,No open drain assignments found.,,I/O,,
|
||||
IO_RULES,IO_STD_SUPPORT_BUS_HOLD_VALUE,INAPPLICABLE,IO_000022,I/O Properties Checks for One I/O,The I/O standard should support the requested Bus Hold value.,Critical,No Enable Bus-Hold Circuitry assignments found.,,I/O,,
|
||||
IO_RULES,IO_STD_SUPPORT_WEAK_PULL_UP_VALUE,INAPPLICABLE,IO_000021,I/O Properties Checks for One I/O,The I/O standard should support the requested Weak Pull Up value.,Critical,No Weak Pull-Up Resistor assignments found.,,I/O,,
|
||||
IO_RULES,IO_STD_SUPPORT_PCI_CLAMP_DIODE,PASS,IO_000020,I/O Properties Checks for One I/O,The I/O standard should support the requested PCI Clamp Diode.,Critical,0 such failures found.,,I/O,,
|
||||
IO_RULES,IO_STD_SUPPORT_OCT_VALUE,PASS,IO_000019,I/O Properties Checks for One I/O,The I/O standard should support the requested On Chip Termination value.,Critical,0 such failures found.,,I/O,,
|
||||
IO_RULES,IO_STD_SUPPORT_CURRENT_STRENGTH,INAPPLICABLE,IO_000018,I/O Properties Checks for One I/O,The I/O standard should support the requested Current Strength.,Critical,No Current Strength assignments found.,,I/O,,
|
||||
IO_RULES,LOC_SUPPORT_PCI_CLAMP_DIODE,PASS,IO_000015,I/O Properties Checks for One I/O,The location should support the requested PCI Clamp Diode.,Critical,0 such failures found.,,I/O,,
|
||||
IO_RULES,LOC_SUPPORT_WEAK_PULL_UP_VALUE,INAPPLICABLE,IO_000014,I/O Properties Checks for One I/O,The location should support the requested Weak Pull Up value.,Critical,No Weak Pull-Up Resistor assignments found.,,I/O,,
|
||||
IO_RULES,LOC_SUPPORT_BUS_HOLD_VALUE,INAPPLICABLE,IO_000013,I/O Properties Checks for One I/O,The location should support the requested Bus Hold value.,Critical,No Enable Bus-Hold Circuitry assignments found.,,I/O,,
|
||||
IO_RULES,LOC_SUPPORT_OCT_VALUE,PASS,IO_000012,I/O Properties Checks for One I/O,The location should support the requested On Chip Termination value.,Critical,0 such failures found.,,I/O,,
|
||||
IO_RULES,LOC_SUPPORT_CURRENT_STRENGTH,INAPPLICABLE,IO_000011,I/O Properties Checks for One I/O,The location should support the requested Current Strength.,Critical,No Current Strength assignments found.,,I/O,,
|
||||
IO_RULES,LOC_SUPPORT_IO_DIR,PASS,IO_000010,I/O Properties Checks for One I/O,The location should support the requested I/O direction.,Critical,0 such failures found.,,I/O,,
|
||||
IO_RULES,LOC_SUPPORT_IO_STD,PASS,IO_000009,I/O Properties Checks for One I/O,The location should support the requested I/O standard.,Critical,0 such failures found.,,I/O,,
|
||||
IO_RULES,CURRENT_DENSITY_FOR_CONSECUTIVE_IO_NOT_EXCEED_CURRENT_VALUE,PASS,IO_000033,Electromigration Checks,Current density for consecutive I/Os should not exceed 160mA for row I/Os and 160mA for column I/Os.,Critical,0 such failures found.,,I/O,,
|
||||
IO_RULES,SINGLE_ENDED_OUTPUTS_LAB_ROWS_FROM_DIFF_IO,INAPPLICABLE,IO_000034,SI Related Distance Checks,Single-ended outputs should be 5 LAB row(s) away from a differential I/O.,High,No Differential I/O Standard assignments found.,,I/O,,
|
||||
IO_RULES,MAX_20_OUTPUTS_ALLOWED_IN_VREFGROUP,INAPPLICABLE,IO_000042,SI Related SSO Limit Checks,No more than 20 outputs are allowed in a VREF group when VREF is being read from.,High,No VREF I/O Standard assignments found.,,I/O,,
|
||||
IO_RULES,DEV_IO_RULE_OCT_DISCLAIMER,,,,,,,,,,
|
||||
IO_RULES_MATRIX,Pin/Rules,IO_000002;IO_000001;IO_000003;IO_000004;IO_000005;IO_000006;IO_000007;IO_000008;IO_000047;IO_000046;IO_000045;IO_000027;IO_000026;IO_000024;IO_000023;IO_000022;IO_000021;IO_000020;IO_000019;IO_000018;IO_000015;IO_000014;IO_000013;IO_000012;IO_000011;IO_000010;IO_000009;IO_000033;IO_000034;IO_000042,
|
||||
IO_RULES_MATRIX,Total Pass,0;23;23;0;0;23;23;0;0;0;0;0;0;14;0;0;0;9;14;0;9;0;0;14;0;23;23;23;0;0,
|
||||
IO_RULES_MATRIX,Total Unchecked,0;0;0;0;0;0;0;0;0;0;0;0;0;0;0;0;0;0;0;0;0;0;0;0;0;0;0;0;0;0,
|
||||
IO_RULES_MATRIX,Total Inapplicable,23;0;0;23;23;0;0;23;23;23;23;23;23;9;23;23;23;14;9;23;14;23;23;9;23;0;0;0;23;23,
|
||||
IO_RULES_MATRIX,Total Fail,0;0;0;0;0;0;0;0;0;0;0;0;0;0;0;0;0;0;0;0;0;0;0;0;0;0;0;0;0;0,
|
||||
IO_RULES_MATRIX,HEX0[0],Inapplicable;Pass;Pass;Inapplicable;Inapplicable;Pass;Pass;Inapplicable;Inapplicable;Inapplicable;Inapplicable;Inapplicable;Inapplicable;Pass;Inapplicable;Inapplicable;Inapplicable;Inapplicable;Pass;Inapplicable;Inapplicable;Inapplicable;Inapplicable;Pass;Inapplicable;Pass;Pass;Pass;Inapplicable;Inapplicable,
|
||||
IO_RULES_MATRIX,HEX0[1],Inapplicable;Pass;Pass;Inapplicable;Inapplicable;Pass;Pass;Inapplicable;Inapplicable;Inapplicable;Inapplicable;Inapplicable;Inapplicable;Pass;Inapplicable;Inapplicable;Inapplicable;Inapplicable;Pass;Inapplicable;Inapplicable;Inapplicable;Inapplicable;Pass;Inapplicable;Pass;Pass;Pass;Inapplicable;Inapplicable,
|
||||
IO_RULES_MATRIX,HEX0[2],Inapplicable;Pass;Pass;Inapplicable;Inapplicable;Pass;Pass;Inapplicable;Inapplicable;Inapplicable;Inapplicable;Inapplicable;Inapplicable;Pass;Inapplicable;Inapplicable;Inapplicable;Inapplicable;Pass;Inapplicable;Inapplicable;Inapplicable;Inapplicable;Pass;Inapplicable;Pass;Pass;Pass;Inapplicable;Inapplicable,
|
||||
IO_RULES_MATRIX,HEX0[3],Inapplicable;Pass;Pass;Inapplicable;Inapplicable;Pass;Pass;Inapplicable;Inapplicable;Inapplicable;Inapplicable;Inapplicable;Inapplicable;Pass;Inapplicable;Inapplicable;Inapplicable;Inapplicable;Pass;Inapplicable;Inapplicable;Inapplicable;Inapplicable;Pass;Inapplicable;Pass;Pass;Pass;Inapplicable;Inapplicable,
|
||||
IO_RULES_MATRIX,HEX0[4],Inapplicable;Pass;Pass;Inapplicable;Inapplicable;Pass;Pass;Inapplicable;Inapplicable;Inapplicable;Inapplicable;Inapplicable;Inapplicable;Pass;Inapplicable;Inapplicable;Inapplicable;Inapplicable;Pass;Inapplicable;Inapplicable;Inapplicable;Inapplicable;Pass;Inapplicable;Pass;Pass;Pass;Inapplicable;Inapplicable,
|
||||
IO_RULES_MATRIX,HEX0[5],Inapplicable;Pass;Pass;Inapplicable;Inapplicable;Pass;Pass;Inapplicable;Inapplicable;Inapplicable;Inapplicable;Inapplicable;Inapplicable;Pass;Inapplicable;Inapplicable;Inapplicable;Inapplicable;Pass;Inapplicable;Inapplicable;Inapplicable;Inapplicable;Pass;Inapplicable;Pass;Pass;Pass;Inapplicable;Inapplicable,
|
||||
IO_RULES_MATRIX,HEX0[6],Inapplicable;Pass;Pass;Inapplicable;Inapplicable;Pass;Pass;Inapplicable;Inapplicable;Inapplicable;Inapplicable;Inapplicable;Inapplicable;Pass;Inapplicable;Inapplicable;Inapplicable;Inapplicable;Pass;Inapplicable;Inapplicable;Inapplicable;Inapplicable;Pass;Inapplicable;Pass;Pass;Pass;Inapplicable;Inapplicable,
|
||||
IO_RULES_MATRIX,HEX1[0],Inapplicable;Pass;Pass;Inapplicable;Inapplicable;Pass;Pass;Inapplicable;Inapplicable;Inapplicable;Inapplicable;Inapplicable;Inapplicable;Pass;Inapplicable;Inapplicable;Inapplicable;Inapplicable;Pass;Inapplicable;Inapplicable;Inapplicable;Inapplicable;Pass;Inapplicable;Pass;Pass;Pass;Inapplicable;Inapplicable,
|
||||
IO_RULES_MATRIX,HEX1[1],Inapplicable;Pass;Pass;Inapplicable;Inapplicable;Pass;Pass;Inapplicable;Inapplicable;Inapplicable;Inapplicable;Inapplicable;Inapplicable;Pass;Inapplicable;Inapplicable;Inapplicable;Inapplicable;Pass;Inapplicable;Inapplicable;Inapplicable;Inapplicable;Pass;Inapplicable;Pass;Pass;Pass;Inapplicable;Inapplicable,
|
||||
IO_RULES_MATRIX,HEX1[2],Inapplicable;Pass;Pass;Inapplicable;Inapplicable;Pass;Pass;Inapplicable;Inapplicable;Inapplicable;Inapplicable;Inapplicable;Inapplicable;Pass;Inapplicable;Inapplicable;Inapplicable;Inapplicable;Pass;Inapplicable;Inapplicable;Inapplicable;Inapplicable;Pass;Inapplicable;Pass;Pass;Pass;Inapplicable;Inapplicable,
|
||||
IO_RULES_MATRIX,HEX1[3],Inapplicable;Pass;Pass;Inapplicable;Inapplicable;Pass;Pass;Inapplicable;Inapplicable;Inapplicable;Inapplicable;Inapplicable;Inapplicable;Pass;Inapplicable;Inapplicable;Inapplicable;Inapplicable;Pass;Inapplicable;Inapplicable;Inapplicable;Inapplicable;Pass;Inapplicable;Pass;Pass;Pass;Inapplicable;Inapplicable,
|
||||
IO_RULES_MATRIX,HEX1[4],Inapplicable;Pass;Pass;Inapplicable;Inapplicable;Pass;Pass;Inapplicable;Inapplicable;Inapplicable;Inapplicable;Inapplicable;Inapplicable;Pass;Inapplicable;Inapplicable;Inapplicable;Inapplicable;Pass;Inapplicable;Inapplicable;Inapplicable;Inapplicable;Pass;Inapplicable;Pass;Pass;Pass;Inapplicable;Inapplicable,
|
||||
IO_RULES_MATRIX,HEX1[5],Inapplicable;Pass;Pass;Inapplicable;Inapplicable;Pass;Pass;Inapplicable;Inapplicable;Inapplicable;Inapplicable;Inapplicable;Inapplicable;Pass;Inapplicable;Inapplicable;Inapplicable;Inapplicable;Pass;Inapplicable;Inapplicable;Inapplicable;Inapplicable;Pass;Inapplicable;Pass;Pass;Pass;Inapplicable;Inapplicable,
|
||||
IO_RULES_MATRIX,HEX1[6],Inapplicable;Pass;Pass;Inapplicable;Inapplicable;Pass;Pass;Inapplicable;Inapplicable;Inapplicable;Inapplicable;Inapplicable;Inapplicable;Pass;Inapplicable;Inapplicable;Inapplicable;Inapplicable;Pass;Inapplicable;Inapplicable;Inapplicable;Inapplicable;Pass;Inapplicable;Pass;Pass;Pass;Inapplicable;Inapplicable,
|
||||
IO_RULES_MATRIX,SW[1],Inapplicable;Pass;Pass;Inapplicable;Inapplicable;Pass;Pass;Inapplicable;Inapplicable;Inapplicable;Inapplicable;Inapplicable;Inapplicable;Inapplicable;Inapplicable;Inapplicable;Inapplicable;Pass;Inapplicable;Inapplicable;Pass;Inapplicable;Inapplicable;Inapplicable;Inapplicable;Pass;Pass;Pass;Inapplicable;Inapplicable,
|
||||
IO_RULES_MATRIX,SW[5],Inapplicable;Pass;Pass;Inapplicable;Inapplicable;Pass;Pass;Inapplicable;Inapplicable;Inapplicable;Inapplicable;Inapplicable;Inapplicable;Inapplicable;Inapplicable;Inapplicable;Inapplicable;Pass;Inapplicable;Inapplicable;Pass;Inapplicable;Inapplicable;Inapplicable;Inapplicable;Pass;Pass;Pass;Inapplicable;Inapplicable,
|
||||
IO_RULES_MATRIX,SW[8],Inapplicable;Pass;Pass;Inapplicable;Inapplicable;Pass;Pass;Inapplicable;Inapplicable;Inapplicable;Inapplicable;Inapplicable;Inapplicable;Inapplicable;Inapplicable;Inapplicable;Inapplicable;Pass;Inapplicable;Inapplicable;Pass;Inapplicable;Inapplicable;Inapplicable;Inapplicable;Pass;Pass;Pass;Inapplicable;Inapplicable,
|
||||
IO_RULES_MATRIX,SW[0],Inapplicable;Pass;Pass;Inapplicable;Inapplicable;Pass;Pass;Inapplicable;Inapplicable;Inapplicable;Inapplicable;Inapplicable;Inapplicable;Inapplicable;Inapplicable;Inapplicable;Inapplicable;Pass;Inapplicable;Inapplicable;Pass;Inapplicable;Inapplicable;Inapplicable;Inapplicable;Pass;Pass;Pass;Inapplicable;Inapplicable,
|
||||
IO_RULES_MATRIX,SW[4],Inapplicable;Pass;Pass;Inapplicable;Inapplicable;Pass;Pass;Inapplicable;Inapplicable;Inapplicable;Inapplicable;Inapplicable;Inapplicable;Inapplicable;Inapplicable;Inapplicable;Inapplicable;Pass;Inapplicable;Inapplicable;Pass;Inapplicable;Inapplicable;Inapplicable;Inapplicable;Pass;Pass;Pass;Inapplicable;Inapplicable,
|
||||
IO_RULES_MATRIX,SW[2],Inapplicable;Pass;Pass;Inapplicable;Inapplicable;Pass;Pass;Inapplicable;Inapplicable;Inapplicable;Inapplicable;Inapplicable;Inapplicable;Inapplicable;Inapplicable;Inapplicable;Inapplicable;Pass;Inapplicable;Inapplicable;Pass;Inapplicable;Inapplicable;Inapplicable;Inapplicable;Pass;Pass;Pass;Inapplicable;Inapplicable,
|
||||
IO_RULES_MATRIX,SW[6],Inapplicable;Pass;Pass;Inapplicable;Inapplicable;Pass;Pass;Inapplicable;Inapplicable;Inapplicable;Inapplicable;Inapplicable;Inapplicable;Inapplicable;Inapplicable;Inapplicable;Inapplicable;Pass;Inapplicable;Inapplicable;Pass;Inapplicable;Inapplicable;Inapplicable;Inapplicable;Pass;Pass;Pass;Inapplicable;Inapplicable,
|
||||
IO_RULES_MATRIX,SW[3],Inapplicable;Pass;Pass;Inapplicable;Inapplicable;Pass;Pass;Inapplicable;Inapplicable;Inapplicable;Inapplicable;Inapplicable;Inapplicable;Inapplicable;Inapplicable;Inapplicable;Inapplicable;Pass;Inapplicable;Inapplicable;Pass;Inapplicable;Inapplicable;Inapplicable;Inapplicable;Pass;Pass;Pass;Inapplicable;Inapplicable,
|
||||
IO_RULES_MATRIX,SW[7],Inapplicable;Pass;Pass;Inapplicable;Inapplicable;Pass;Pass;Inapplicable;Inapplicable;Inapplicable;Inapplicable;Inapplicable;Inapplicable;Inapplicable;Inapplicable;Inapplicable;Inapplicable;Pass;Inapplicable;Inapplicable;Pass;Inapplicable;Inapplicable;Inapplicable;Inapplicable;Pass;Pass;Pass;Inapplicable;Inapplicable,
|
||||
IO_RULES_SUMMARY,Total I/O Rules,30,
|
||||
IO_RULES_SUMMARY,Number of I/O Rules Passed,12,
|
||||
IO_RULES_SUMMARY,Number of I/O Rules Failed,0,
|
||||
IO_RULES_SUMMARY,Number of I/O Rules Unchecked,0,
|
||||
IO_RULES_SUMMARY,Number of I/O Rules Inapplicable,18,
|
BIN
EE203/Noah Woodlee/Lab2/part4/db/part4.cmp.rdb
Normal file
BIN
EE203/Noah Woodlee/Lab2/part4/db/part4.cmp.rdb
Normal file
Binary file not shown.
BIN
EE203/Noah Woodlee/Lab2/part4/db/part4.cmp_merge.kpt
Normal file
BIN
EE203/Noah Woodlee/Lab2/part4/db/part4.cmp_merge.kpt
Normal file
Binary file not shown.
3
EE203/Noah Woodlee/Lab2/part4/db/part4.db_info
Normal file
3
EE203/Noah Woodlee/Lab2/part4/db/part4.db_info
Normal file
@ -0,0 +1,3 @@
|
||||
Quartus_Version = Version 20.1.1 Build 720 11/11/2020 SJ Lite Edition
|
||||
Version_Index = 520278016
|
||||
Creation_Time = Sun Apr 25 14:16:58 2021
|
54
EE203/Noah Woodlee/Lab2/part4/db/part4.fit.qmsg
Normal file
54
EE203/Noah Woodlee/Lab2/part4/db/part4.fit.qmsg
Normal file
File diff suppressed because one or more lines are too long
170
EE203/Noah Woodlee/Lab2/part4/db/part4.hier_info
Normal file
170
EE203/Noah Woodlee/Lab2/part4/db/part4.hier_info
Normal file
@ -0,0 +1,170 @@
|
||||
|part4
|
||||
SW[0] => SW[0].IN1
|
||||
SW[1] => SW[1].IN1
|
||||
SW[2] => SW[2].IN1
|
||||
SW[3] => SW[3].IN1
|
||||
SW[4] => SW[4].IN1
|
||||
SW[5] => SW[5].IN1
|
||||
SW[6] => SW[6].IN1
|
||||
SW[7] => SW[7].IN1
|
||||
SW[8] => SW[8].IN1
|
||||
HEX0[0] << BCD_ex:comb_3.port1
|
||||
HEX0[1] << BCD_ex:comb_3.port1
|
||||
HEX0[2] << BCD_ex:comb_3.port1
|
||||
HEX0[3] << BCD_ex:comb_3.port1
|
||||
HEX0[4] << BCD_ex:comb_3.port1
|
||||
HEX0[5] << BCD_ex:comb_3.port1
|
||||
HEX0[6] << BCD_ex:comb_3.port1
|
||||
HEX1[0] << BCD_ex:comb_3.port2
|
||||
HEX1[1] << BCD_ex:comb_3.port2
|
||||
HEX1[2] << BCD_ex:comb_3.port2
|
||||
HEX1[3] << BCD_ex:comb_3.port2
|
||||
HEX1[4] << BCD_ex:comb_3.port2
|
||||
HEX1[5] << BCD_ex:comb_3.port2
|
||||
HEX1[6] << BCD_ex:comb_3.port2
|
||||
|
||||
|
||||
|part4|RippleCarryAdder:R0
|
||||
SUM[0] <= adder:A0.port0
|
||||
SUM[1] <= adder:A1.port0
|
||||
SUM[2] <= adder:A2.port0
|
||||
SUM[3] <= adder:A3.port0
|
||||
COUT <= adder:A3.port1
|
||||
IN1[0] => IN1[0].IN1
|
||||
IN1[1] => IN1[1].IN1
|
||||
IN1[2] => IN1[2].IN1
|
||||
IN1[3] => IN1[3].IN1
|
||||
IN1[4] => ~NO_FANOUT~
|
||||
IN2[0] => IN2[0].IN1
|
||||
IN2[1] => IN2[1].IN1
|
||||
IN2[2] => IN2[2].IN1
|
||||
IN2[3] => IN2[3].IN1
|
||||
IN2[4] => ~NO_FANOUT~
|
||||
CIN => CIN.IN1
|
||||
|
||||
|
||||
|part4|RippleCarryAdder:R0|adder:A0
|
||||
SUM <= x1.DB_MAX_OUTPUT_PORT_TYPE
|
||||
COUT <= COUT.DB_MAX_OUTPUT_PORT_TYPE
|
||||
A => x0.IN0
|
||||
B => x0.IN1
|
||||
B => COUT.DATAA
|
||||
CIN => x1.IN1
|
||||
CIN => COUT.DATAB
|
||||
|
||||
|
||||
|part4|RippleCarryAdder:R0|adder:A1
|
||||
SUM <= x1.DB_MAX_OUTPUT_PORT_TYPE
|
||||
COUT <= COUT.DB_MAX_OUTPUT_PORT_TYPE
|
||||
A => x0.IN0
|
||||
B => x0.IN1
|
||||
B => COUT.DATAA
|
||||
CIN => x1.IN1
|
||||
CIN => COUT.DATAB
|
||||
|
||||
|
||||
|part4|RippleCarryAdder:R0|adder:A2
|
||||
SUM <= x1.DB_MAX_OUTPUT_PORT_TYPE
|
||||
COUT <= COUT.DB_MAX_OUTPUT_PORT_TYPE
|
||||
A => x0.IN0
|
||||
B => x0.IN1
|
||||
B => COUT.DATAA
|
||||
CIN => x1.IN1
|
||||
CIN => COUT.DATAB
|
||||
|
||||
|
||||
|part4|RippleCarryAdder:R0|adder:A3
|
||||
SUM <= x1.DB_MAX_OUTPUT_PORT_TYPE
|
||||
COUT <= COUT.DB_MAX_OUTPUT_PORT_TYPE
|
||||
A => x0.IN0
|
||||
B => x0.IN1
|
||||
B => COUT.DATAA
|
||||
CIN => x1.IN1
|
||||
CIN => COUT.DATAB
|
||||
|
||||
|
||||
|part4|BCD_ex:comb_3
|
||||
SW[0] => V[0].IN2
|
||||
SW[1] => V[1].IN1
|
||||
SW[2] => V[2].IN1
|
||||
SW[3] => V[3].IN1
|
||||
D0[0] <= bcd:B0.port1
|
||||
D0[1] <= bcd:B0.port1
|
||||
D0[2] <= bcd:B0.port1
|
||||
D0[3] <= bcd:B0.port1
|
||||
D0[4] <= bcd:B0.port1
|
||||
D0[5] <= bcd:B0.port1
|
||||
D0[6] <= bcd:B0.port1
|
||||
D1[0] <= z.DB_MAX_OUTPUT_PORT_TYPE
|
||||
D1[1] <= <GND>
|
||||
D1[2] <= <GND>
|
||||
D1[3] <= z.DB_MAX_OUTPUT_PORT_TYPE
|
||||
D1[4] <= z.DB_MAX_OUTPUT_PORT_TYPE
|
||||
D1[5] <= z.DB_MAX_OUTPUT_PORT_TYPE
|
||||
D1[6] <= <VCC>
|
||||
|
||||
|
||||
|part4|BCD_ex:comb_3|mux_2to1:M0
|
||||
IN1[0] => OUT.DATAA
|
||||
IN1[1] => OUT.DATAA
|
||||
IN1[2] => OUT.DATAA
|
||||
IN1[3] => OUT.DATAA
|
||||
IN2[0] => OUT.DATAB
|
||||
IN2[1] => OUT.DATAB
|
||||
IN2[2] => OUT.DATAB
|
||||
IN2[3] => OUT.DATAB
|
||||
S => OUT.OUTPUTSELECT
|
||||
S => OUT.OUTPUTSELECT
|
||||
S => OUT.OUTPUTSELECT
|
||||
S => OUT.OUTPUTSELECT
|
||||
OUT[0] <= OUT.DB_MAX_OUTPUT_PORT_TYPE
|
||||
OUT[1] <= OUT.DB_MAX_OUTPUT_PORT_TYPE
|
||||
OUT[2] <= OUT.DB_MAX_OUTPUT_PORT_TYPE
|
||||
OUT[3] <= OUT.DB_MAX_OUTPUT_PORT_TYPE
|
||||
|
||||
|
||||
|part4|BCD_ex:comb_3|bcd:B0
|
||||
IN[0] => OUT.DATAB
|
||||
IN[0] => OUT.DATAB
|
||||
IN[0] => OUT.DATAB
|
||||
IN[0] => OUT.DATAB
|
||||
IN[0] => OUT.DATAA
|
||||
IN[0] => OUT.DATAA
|
||||
IN[0] => OUT.DATAA
|
||||
IN[0] => OUT.DATAA
|
||||
IN[0] => OUT.DATAA
|
||||
IN[0] => OUT.DATAB
|
||||
IN[0] => OUT.DATAB
|
||||
IN[0] => OUT.DATAA
|
||||
IN[1] => OUT.OUTPUTSELECT
|
||||
IN[1] => OUT.OUTPUTSELECT
|
||||
IN[1] => OUT.OUTPUTSELECT
|
||||
IN[1] => OUT.OUTPUTSELECT
|
||||
IN[1] => OUT.OUTPUTSELECT
|
||||
IN[1] => OUT.OUTPUTSELECT
|
||||
IN[1] => OUT.OUTPUTSELECT
|
||||
IN[1] => OUT.OUTPUTSELECT
|
||||
IN[1] => OUT.DATAA
|
||||
IN[2] => OUT.OUTPUTSELECT
|
||||
IN[2] => OUT.OUTPUTSELECT
|
||||
IN[2] => OUT.OUTPUTSELECT
|
||||
IN[2] => OUT.OUTPUTSELECT
|
||||
IN[2] => OUT.OUTPUTSELECT
|
||||
IN[2] => OUT.OUTPUTSELECT
|
||||
IN[2] => OUT.OUTPUTSELECT
|
||||
IN[3] => OUT.OUTPUTSELECT
|
||||
IN[3] => OUT.OUTPUTSELECT
|
||||
IN[3] => OUT.OUTPUTSELECT
|
||||
IN[3] => OUT.OUTPUTSELECT
|
||||
IN[3] => OUT.OUTPUTSELECT
|
||||
IN[3] => OUT.OUTPUTSELECT
|
||||
IN[3] => OUT.OUTPUTSELECT
|
||||
OUT[0] <= OUT.DB_MAX_OUTPUT_PORT_TYPE
|
||||
OUT[1] <= OUT.DB_MAX_OUTPUT_PORT_TYPE
|
||||
OUT[2] <= OUT.DB_MAX_OUTPUT_PORT_TYPE
|
||||
OUT[3] <= OUT.DB_MAX_OUTPUT_PORT_TYPE
|
||||
OUT[4] <= OUT.DB_MAX_OUTPUT_PORT_TYPE
|
||||
OUT[5] <= OUT.DB_MAX_OUTPUT_PORT_TYPE
|
||||
OUT[6] <= OUT.DB_MAX_OUTPUT_PORT_TYPE
|
||||
|
||||
|
BIN
EE203/Noah Woodlee/Lab2/part4/db/part4.hif
Normal file
BIN
EE203/Noah Woodlee/Lab2/part4/db/part4.hif
Normal file
Binary file not shown.
146
EE203/Noah Woodlee/Lab2/part4/db/part4.lpc.html
Normal file
146
EE203/Noah Woodlee/Lab2/part4/db/part4.lpc.html
Normal file
@ -0,0 +1,146 @@
|
||||
<TABLE>
|
||||
<TR bgcolor="#C0C0C0">
|
||||
<TH>Hierarchy</TH>
|
||||
<TH>Input</TH>
|
||||
<TH>Constant Input</TH>
|
||||
<TH>Unused Input</TH>
|
||||
<TH>Floating Input</TH>
|
||||
<TH>Output</TH>
|
||||
<TH>Constant Output</TH>
|
||||
<TH>Unused Output</TH>
|
||||
<TH>Floating Output</TH>
|
||||
<TH>Bidir</TH>
|
||||
<TH>Constant Bidir</TH>
|
||||
<TH>Unused Bidir</TH>
|
||||
<TH>Input only Bidir</TH>
|
||||
<TH>Output only Bidir</TH>
|
||||
</TR>
|
||||
<TR >
|
||||
<TD >comb_3|B0</TD>
|
||||
<TD >4</TD>
|
||||
<TD >0</TD>
|
||||
<TD >0</TD>
|
||||
<TD >0</TD>
|
||||
<TD >7</TD>
|
||||
<TD >0</TD>
|
||||
<TD >0</TD>
|
||||
<TD >0</TD>
|
||||
<TD >0</TD>
|
||||
<TD >0</TD>
|
||||
<TD >0</TD>
|
||||
<TD >0</TD>
|
||||
<TD >0</TD>
|
||||
</TR>
|
||||
<TR >
|
||||
<TD >comb_3|M0</TD>
|
||||
<TD >9</TD>
|
||||
<TD >0</TD>
|
||||
<TD >0</TD>
|
||||
<TD >0</TD>
|
||||
<TD >4</TD>
|
||||
<TD >0</TD>
|
||||
<TD >0</TD>
|
||||
<TD >0</TD>
|
||||
<TD >0</TD>
|
||||
<TD >0</TD>
|
||||
<TD >0</TD>
|
||||
<TD >0</TD>
|
||||
<TD >0</TD>
|
||||
</TR>
|
||||
<TR >
|
||||
<TD >comb_3</TD>
|
||||
<TD >4</TD>
|
||||
<TD >3</TD>
|
||||
<TD >0</TD>
|
||||
<TD >3</TD>
|
||||
<TD >14</TD>
|
||||
<TD >3</TD>
|
||||
<TD >3</TD>
|
||||
<TD >3</TD>
|
||||
<TD >0</TD>
|
||||
<TD >0</TD>
|
||||
<TD >0</TD>
|
||||
<TD >0</TD>
|
||||
<TD >0</TD>
|
||||
</TR>
|
||||
<TR >
|
||||
<TD >R0|A3</TD>
|
||||
<TD >3</TD>
|
||||
<TD >0</TD>
|
||||
<TD >0</TD>
|
||||
<TD >0</TD>
|
||||
<TD >2</TD>
|
||||
<TD >0</TD>
|
||||
<TD >0</TD>
|
||||
<TD >0</TD>
|
||||
<TD >0</TD>
|
||||
<TD >0</TD>
|
||||
<TD >0</TD>
|
||||
<TD >0</TD>
|
||||
<TD >0</TD>
|
||||
</TR>
|
||||
<TR >
|
||||
<TD >R0|A2</TD>
|
||||
<TD >3</TD>
|
||||
<TD >0</TD>
|
||||
<TD >0</TD>
|
||||
<TD >0</TD>
|
||||
<TD >2</TD>
|
||||
<TD >0</TD>
|
||||
<TD >0</TD>
|
||||
<TD >0</TD>
|
||||
<TD >0</TD>
|
||||
<TD >0</TD>
|
||||
<TD >0</TD>
|
||||
<TD >0</TD>
|
||||
<TD >0</TD>
|
||||
</TR>
|
||||
<TR >
|
||||
<TD >R0|A1</TD>
|
||||
<TD >3</TD>
|
||||
<TD >0</TD>
|
||||
<TD >0</TD>
|
||||
<TD >0</TD>
|
||||
<TD >2</TD>
|
||||
<TD >0</TD>
|
||||
<TD >0</TD>
|
||||
<TD >0</TD>
|
||||
<TD >0</TD>
|
||||
<TD >0</TD>
|
||||
<TD >0</TD>
|
||||
<TD >0</TD>
|
||||
<TD >0</TD>
|
||||
</TR>
|
||||
<TR >
|
||||
<TD >R0|A0</TD>
|
||||
<TD >3</TD>
|
||||
<TD >0</TD>
|
||||
<TD >0</TD>
|
||||
<TD >0</TD>
|
||||
<TD >2</TD>
|
||||
<TD >0</TD>
|
||||
<TD >0</TD>
|
||||
<TD >0</TD>
|
||||
<TD >0</TD>
|
||||
<TD >0</TD>
|
||||
<TD >0</TD>
|
||||
<TD >0</TD>
|
||||
<TD >0</TD>
|
||||
</TR>
|
||||
<TR >
|
||||
<TD >R0</TD>
|
||||
<TD >11</TD>
|
||||
<TD >2</TD>
|
||||
<TD >0</TD>
|
||||
<TD >2</TD>
|
||||
<TD >5</TD>
|
||||
<TD >2</TD>
|
||||
<TD >2</TD>
|
||||
<TD >2</TD>
|
||||
<TD >0</TD>
|
||||
<TD >0</TD>
|
||||
<TD >0</TD>
|
||||
<TD >0</TD>
|
||||
<TD >0</TD>
|
||||
</TR>
|
||||
</TABLE>
|
BIN
EE203/Noah Woodlee/Lab2/part4/db/part4.lpc.rdb
Normal file
BIN
EE203/Noah Woodlee/Lab2/part4/db/part4.lpc.rdb
Normal file
Binary file not shown.
14
EE203/Noah Woodlee/Lab2/part4/db/part4.lpc.txt
Normal file
14
EE203/Noah Woodlee/Lab2/part4/db/part4.lpc.txt
Normal file
@ -0,0 +1,14 @@
|
||||
+----------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------+
|
||||
; Legal Partition Candidates ;
|
||||
+-----------+-------+----------------+--------------+----------------+--------+-----------------+---------------+-----------------+-------+----------------+--------------+------------------+-------------------+
|
||||
; Hierarchy ; Input ; Constant Input ; Unused Input ; Floating Input ; Output ; Constant Output ; Unused Output ; Floating Output ; Bidir ; Constant Bidir ; Unused Bidir ; Input only Bidir ; Output only Bidir ;
|
||||
+-----------+-------+----------------+--------------+----------------+--------+-----------------+---------------+-----------------+-------+----------------+--------------+------------------+-------------------+
|
||||
; comb_3|B0 ; 4 ; 0 ; 0 ; 0 ; 7 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ;
|
||||
; comb_3|M0 ; 9 ; 0 ; 0 ; 0 ; 4 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ;
|
||||
; comb_3 ; 4 ; 3 ; 0 ; 3 ; 14 ; 3 ; 3 ; 3 ; 0 ; 0 ; 0 ; 0 ; 0 ;
|
||||
; R0|A3 ; 3 ; 0 ; 0 ; 0 ; 2 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ;
|
||||
; R0|A2 ; 3 ; 0 ; 0 ; 0 ; 2 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ;
|
||||
; R0|A1 ; 3 ; 0 ; 0 ; 0 ; 2 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ;
|
||||
; R0|A0 ; 3 ; 0 ; 0 ; 0 ; 2 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ;
|
||||
; R0 ; 11 ; 2 ; 0 ; 2 ; 5 ; 2 ; 2 ; 2 ; 0 ; 0 ; 0 ; 0 ; 0 ;
|
||||
+-----------+-------+----------------+--------------+----------------+--------+-----------------+---------------+-----------------+-------+----------------+--------------+------------------+-------------------+
|
BIN
EE203/Noah Woodlee/Lab2/part4/db/part4.map.ammdb
Normal file
BIN
EE203/Noah Woodlee/Lab2/part4/db/part4.map.ammdb
Normal file
Binary file not shown.
BIN
EE203/Noah Woodlee/Lab2/part4/db/part4.map.bpm
Normal file
BIN
EE203/Noah Woodlee/Lab2/part4/db/part4.map.bpm
Normal file
Binary file not shown.
BIN
EE203/Noah Woodlee/Lab2/part4/db/part4.map.cdb
Normal file
BIN
EE203/Noah Woodlee/Lab2/part4/db/part4.map.cdb
Normal file
Binary file not shown.
BIN
EE203/Noah Woodlee/Lab2/part4/db/part4.map.hdb
Normal file
BIN
EE203/Noah Woodlee/Lab2/part4/db/part4.map.hdb
Normal file
Binary file not shown.
BIN
EE203/Noah Woodlee/Lab2/part4/db/part4.map.kpt
Normal file
BIN
EE203/Noah Woodlee/Lab2/part4/db/part4.map.kpt
Normal file
Binary file not shown.
1
EE203/Noah Woodlee/Lab2/part4/db/part4.map.logdb
Normal file
1
EE203/Noah Woodlee/Lab2/part4/db/part4.map.logdb
Normal file
@ -0,0 +1 @@
|
||||
v1
|
23
EE203/Noah Woodlee/Lab2/part4/db/part4.map.qmsg
Normal file
23
EE203/Noah Woodlee/Lab2/part4/db/part4.map.qmsg
Normal file
File diff suppressed because one or more lines are too long
BIN
EE203/Noah Woodlee/Lab2/part4/db/part4.map.rdb
Normal file
BIN
EE203/Noah Woodlee/Lab2/part4/db/part4.map.rdb
Normal file
Binary file not shown.
BIN
EE203/Noah Woodlee/Lab2/part4/db/part4.map_bb.cdb
Normal file
BIN
EE203/Noah Woodlee/Lab2/part4/db/part4.map_bb.cdb
Normal file
Binary file not shown.
BIN
EE203/Noah Woodlee/Lab2/part4/db/part4.map_bb.hdb
Normal file
BIN
EE203/Noah Woodlee/Lab2/part4/db/part4.map_bb.hdb
Normal file
Binary file not shown.
1
EE203/Noah Woodlee/Lab2/part4/db/part4.map_bb.logdb
Normal file
1
EE203/Noah Woodlee/Lab2/part4/db/part4.map_bb.logdb
Normal file
@ -0,0 +1 @@
|
||||
v1
|
BIN
EE203/Noah Woodlee/Lab2/part4/db/part4.pre_map.hdb
Normal file
BIN
EE203/Noah Woodlee/Lab2/part4/db/part4.pre_map.hdb
Normal file
Binary file not shown.
Binary file not shown.
BIN
EE203/Noah Woodlee/Lab2/part4/db/part4.routing.rdb
Normal file
BIN
EE203/Noah Woodlee/Lab2/part4/db/part4.routing.rdb
Normal file
Binary file not shown.
BIN
EE203/Noah Woodlee/Lab2/part4/db/part4.rtlv.hdb
Normal file
BIN
EE203/Noah Woodlee/Lab2/part4/db/part4.rtlv.hdb
Normal file
Binary file not shown.
BIN
EE203/Noah Woodlee/Lab2/part4/db/part4.rtlv_sg.cdb
Normal file
BIN
EE203/Noah Woodlee/Lab2/part4/db/part4.rtlv_sg.cdb
Normal file
Binary file not shown.
BIN
EE203/Noah Woodlee/Lab2/part4/db/part4.rtlv_sg_swap.cdb
Normal file
BIN
EE203/Noah Woodlee/Lab2/part4/db/part4.rtlv_sg_swap.cdb
Normal file
Binary file not shown.
BIN
EE203/Noah Woodlee/Lab2/part4/db/part4.sld_design_entry.sci
Normal file
BIN
EE203/Noah Woodlee/Lab2/part4/db/part4.sld_design_entry.sci
Normal file
Binary file not shown.
BIN
EE203/Noah Woodlee/Lab2/part4/db/part4.sld_design_entry_dsc.sci
Normal file
BIN
EE203/Noah Woodlee/Lab2/part4/db/part4.sld_design_entry_dsc.sci
Normal file
Binary file not shown.
1
EE203/Noah Woodlee/Lab2/part4/db/part4.smart_action.txt
Normal file
1
EE203/Noah Woodlee/Lab2/part4/db/part4.smart_action.txt
Normal file
@ -0,0 +1 @@
|
||||
DONE
|
53
EE203/Noah Woodlee/Lab2/part4/db/part4.sta.qmsg
Normal file
53
EE203/Noah Woodlee/Lab2/part4/db/part4.sta.qmsg
Normal file
File diff suppressed because one or more lines are too long
BIN
EE203/Noah Woodlee/Lab2/part4/db/part4.sta.rdb
Normal file
BIN
EE203/Noah Woodlee/Lab2/part4/db/part4.sta.rdb
Normal file
Binary file not shown.
Binary file not shown.
BIN
EE203/Noah Woodlee/Lab2/part4/db/part4.tis_db_list.ddb
Normal file
BIN
EE203/Noah Woodlee/Lab2/part4/db/part4.tis_db_list.ddb
Normal file
Binary file not shown.
BIN
EE203/Noah Woodlee/Lab2/part4/db/part4.tiscmp.fast_1200mv_0c.ddb
Normal file
BIN
EE203/Noah Woodlee/Lab2/part4/db/part4.tiscmp.fast_1200mv_0c.ddb
Normal file
Binary file not shown.
BIN
EE203/Noah Woodlee/Lab2/part4/db/part4.tiscmp.slow_1200mv_0c.ddb
Normal file
BIN
EE203/Noah Woodlee/Lab2/part4/db/part4.tiscmp.slow_1200mv_0c.ddb
Normal file
Binary file not shown.
Binary file not shown.
6
EE203/Noah Woodlee/Lab2/part4/db/part4.tmw_info
Normal file
6
EE203/Noah Woodlee/Lab2/part4/db/part4.tmw_info
Normal file
@ -0,0 +1,6 @@
|
||||
start_full_compilation:s:00:00:44
|
||||
start_analysis_synthesis:s:00:00:18-start_full_compilation
|
||||
start_analysis_elaboration:s-start_full_compilation
|
||||
start_fitter:s:00:00:15-start_full_compilation
|
||||
start_assembler:s:00:00:06-start_full_compilation
|
||||
start_timing_analyzer:s:00:00:05-start_full_compilation
|
BIN
EE203/Noah Woodlee/Lab2/part4/db/part4.vpr.ammdb
Normal file
BIN
EE203/Noah Woodlee/Lab2/part4/db/part4.vpr.ammdb
Normal file
Binary file not shown.
Binary file not shown.
Binary file not shown.
Binary file not shown.
Binary file not shown.
89
EE203/Noah Woodlee/Lab2/part4/db/part4_partition_pins.json
Normal file
89
EE203/Noah Woodlee/Lab2/part4/db/part4_partition_pins.json
Normal file
@ -0,0 +1,89 @@
|
||||
{
|
||||
"partitions" : [
|
||||
{
|
||||
"name" : "Top",
|
||||
"pins" : [
|
||||
{
|
||||
"name" : "HEX0[0]",
|
||||
"strict" : false
|
||||
},
|
||||
{
|
||||
"name" : "HEX0[1]",
|
||||
"strict" : false
|
||||
},
|
||||
{
|
||||
"name" : "HEX0[2]",
|
||||
"strict" : false
|
||||
},
|
||||
{
|
||||
"name" : "HEX0[3]",
|
||||
"strict" : false
|
||||
},
|
||||
{
|
||||
"name" : "HEX0[4]",
|
||||
"strict" : false
|
||||
},
|
||||
{
|
||||
"name" : "HEX0[5]",
|
||||
"strict" : false
|
||||
},
|
||||
{
|
||||
"name" : "HEX0[6]",
|
||||
"strict" : false
|
||||
},
|
||||
{
|
||||
"name" : "HEX1[0]",
|
||||
"strict" : false
|
||||
},
|
||||
{
|
||||
"name" : "HEX1[3]",
|
||||
"strict" : false
|
||||
},
|
||||
{
|
||||
"name" : "HEX1[4]",
|
||||
"strict" : false
|
||||
},
|
||||
{
|
||||
"name" : "HEX1[5]",
|
||||
"strict" : false
|
||||
},
|
||||
{
|
||||
"name" : "SW[1]",
|
||||
"strict" : false
|
||||
},
|
||||
{
|
||||
"name" : "SW[5]",
|
||||
"strict" : false
|
||||
},
|
||||
{
|
||||
"name" : "SW[8]",
|
||||
"strict" : false
|
||||
},
|
||||
{
|
||||
"name" : "SW[0]",
|
||||
"strict" : false
|
||||
},
|
||||
{
|
||||
"name" : "SW[4]",
|
||||
"strict" : false
|
||||
},
|
||||
{
|
||||
"name" : "SW[2]",
|
||||
"strict" : false
|
||||
},
|
||||
{
|
||||
"name" : "SW[6]",
|
||||
"strict" : false
|
||||
},
|
||||
{
|
||||
"name" : "SW[3]",
|
||||
"strict" : false
|
||||
},
|
||||
{
|
||||
"name" : "SW[7]",
|
||||
"strict" : false
|
||||
}
|
||||
]
|
||||
}
|
||||
]
|
||||
}
|
68
EE203/Noah Woodlee/Lab2/part4/db/prev_cmp_part4.qmsg
Normal file
68
EE203/Noah Woodlee/Lab2/part4/db/prev_cmp_part4.qmsg
Normal file
File diff suppressed because one or more lines are too long
@ -0,0 +1,139 @@
|
||||
// ============================================================================
|
||||
// Ver :| Author :| Mod. Date :| Changes Made:
|
||||
// V1.1 :| Alexandra Du :| 06/01/2016:| Added Verilog file
|
||||
// ============================================================================
|
||||
|
||||
|
||||
//=======================================================
|
||||
// This code is generated by Terasic System Builder
|
||||
//=======================================================
|
||||
|
||||
`define ENABLE_ADC_CLOCK
|
||||
`define ENABLE_CLOCK1
|
||||
`define ENABLE_CLOCK2
|
||||
`define ENABLE_SDRAM
|
||||
`define ENABLE_HEX0
|
||||
`define ENABLE_HEX1
|
||||
`define ENABLE_HEX2
|
||||
`define ENABLE_HEX3
|
||||
`define ENABLE_HEX4
|
||||
`define ENABLE_HEX5
|
||||
`define ENABLE_KEY
|
||||
`define ENABLE_LED
|
||||
`define ENABLE_SW
|
||||
`define ENABLE_VGA
|
||||
`define ENABLE_ACCELEROMETER
|
||||
`define ENABLE_ARDUINO
|
||||
`define ENABLE_GPIO
|
||||
|
||||
module DE10_LITE_Golden_Top(
|
||||
|
||||
//////////// ADC CLOCK: 3.3-V LVTTL //////////
|
||||
`ifdef ENABLE_ADC_CLOCK
|
||||
input ADC_CLK_10,
|
||||
`endif
|
||||
//////////// CLOCK 1: 3.3-V LVTTL //////////
|
||||
`ifdef ENABLE_CLOCK1
|
||||
input MAX10_CLK1_50,
|
||||
`endif
|
||||
//////////// CLOCK 2: 3.3-V LVTTL //////////
|
||||
`ifdef ENABLE_CLOCK2
|
||||
input MAX10_CLK2_50,
|
||||
`endif
|
||||
|
||||
//////////// SDRAM: 3.3-V LVTTL //////////
|
||||
`ifdef ENABLE_SDRAM
|
||||
output [12:0] DRAM_ADDR,
|
||||
output [1:0] DRAM_BA,
|
||||
output DRAM_CAS_N,
|
||||
output DRAM_CKE,
|
||||
output DRAM_CLK,
|
||||
output DRAM_CS_N,
|
||||
inout [15:0] DRAM_DQ,
|
||||
output DRAM_LDQM,
|
||||
output DRAM_RAS_N,
|
||||
output DRAM_UDQM,
|
||||
output DRAM_WE_N,
|
||||
`endif
|
||||
|
||||
//////////// SEG7: 3.3-V LVTTL //////////
|
||||
`ifdef ENABLE_HEX0
|
||||
output [7:0] HEX0,
|
||||
`endif
|
||||
`ifdef ENABLE_HEX1
|
||||
output [7:0] HEX1,
|
||||
`endif
|
||||
`ifdef ENABLE_HEX2
|
||||
output [7:0] HEX2,
|
||||
`endif
|
||||
`ifdef ENABLE_HEX3
|
||||
output [7:0] HEX3,
|
||||
`endif
|
||||
`ifdef ENABLE_HEX4
|
||||
output [7:0] HEX4,
|
||||
`endif
|
||||
`ifdef ENABLE_HEX5
|
||||
output [7:0] HEX5,
|
||||
`endif
|
||||
|
||||
//////////// KEY: 3.3 V SCHMITT TRIGGER //////////
|
||||
`ifdef ENABLE_KEY
|
||||
input [1:0] KEY,
|
||||
`endif
|
||||
|
||||
//////////// LED: 3.3-V LVTTL //////////
|
||||
`ifdef ENABLE_LED
|
||||
output [9:0] LEDR,
|
||||
`endif
|
||||
|
||||
//////////// SW: 3.3-V LVTTL //////////
|
||||
`ifdef ENABLE_SW
|
||||
input [9:0] SW,
|
||||
`endif
|
||||
|
||||
//////////// VGA: 3.3-V LVTTL //////////
|
||||
`ifdef ENABLE_VGA
|
||||
output [3:0] VGA_B,
|
||||
output [3:0] VGA_G,
|
||||
output VGA_HS,
|
||||
output [3:0] VGA_R,
|
||||
output VGA_VS,
|
||||
`endif
|
||||
|
||||
//////////// Accelerometer: 3.3-V LVTTL //////////
|
||||
`ifdef ENABLE_ACCELEROMETER
|
||||
output GSENSOR_CS_N,
|
||||
input [2:1] GSENSOR_INT,
|
||||
output GSENSOR_SCLK,
|
||||
inout GSENSOR_SDI,
|
||||
inout GSENSOR_SDO,
|
||||
`endif
|
||||
|
||||
//////////// Arduino: 3.3-V LVTTL //////////
|
||||
`ifdef ENABLE_ARDUINO
|
||||
inout [15:0] ARDUINO_IO,
|
||||
inout ARDUINO_RESET_N,
|
||||
`endif
|
||||
|
||||
//////////// GPIO, GPIO connect to GPIO Default: 3.3-V LVTTL //////////
|
||||
`ifdef ENABLE_GPIO
|
||||
inout [35:0] GPIO
|
||||
`endif
|
||||
);
|
||||
|
||||
|
||||
|
||||
//=======================================================
|
||||
// REG/WIRE declarations
|
||||
//=======================================================
|
||||
|
||||
|
||||
|
||||
|
||||
//=======================================================
|
||||
// Structural coding
|
||||
//=======================================================
|
||||
|
||||
|
||||
|
||||
endmodule
|
@ -0,0 +1,3 @@
|
||||
platform_setup.tcl
|
||||
filelist.txt
|
||||
DE10_LITE_Golden_Top.v
|
@ -0,0 +1,429 @@
|
||||
proc ::setup_project {} {
|
||||
# -------------------------------------------------------------------------- #
|
||||
#
|
||||
# Copyright (C) 2016 Intel Corporation. All rights reserved.
|
||||
# Your use of Intel Corporation's design tools, logic functions
|
||||
# and other software and tools, and its AMPP partner logic
|
||||
# functions, and any output files from any of the foregoing
|
||||
# (including device programming or simulation files), and any
|
||||
# associated documentation or information are expressly subject
|
||||
# to the terms and conditions of the Intel Program License
|
||||
# Subscription Agreement, the Intel Quartus Prime License Agreement,
|
||||
# the Intel MegaCore Function License Agreement, or other
|
||||
# applicable license agreement, including, without limitation,
|
||||
# that your use is for the sole purpose of programming logic
|
||||
# devices manufactured by Intel and sold by Intel or its
|
||||
# authorized distributors. Please refer to the applicable
|
||||
# agreement for further details.
|
||||
#
|
||||
# -------------------------------------------------------------------------- #
|
||||
#
|
||||
# Quartus Prime
|
||||
# Version 16.1.0 Build 196 10/24/2016 SJ Lite Edition
|
||||
# Date created = 09:47:48 June 12, 2017
|
||||
#
|
||||
# -------------------------------------------------------------------------- #
|
||||
#
|
||||
# Notes:
|
||||
#
|
||||
# 1) The default values for assignments are stored in the file:
|
||||
# top_assignment_defaults.qdf
|
||||
# If this file doesn't exist, see file:
|
||||
# assignment_defaults.qdf
|
||||
#
|
||||
# 2) Altera recommends that you do not modify this file. This
|
||||
# file is updated automatically by the Quartus Prime software
|
||||
# and any changes you make may be lost or overwritten.
|
||||
#
|
||||
# -------------------------------------------------------------------------- #
|
||||
|
||||
|
||||
set_global_assignment -name FAMILY "MAX 10 FPGA"
|
||||
set_global_assignment -name DEVICE 10M50DAF484C6GES
|
||||
set_global_assignment -name ORIGINAL_QUARTUS_VERSION 15.1.0
|
||||
set_global_assignment -name PROJECT_CREATION_TIME_DATE "17:45:13 JUNE 17,2016"
|
||||
set_global_assignment -name LAST_QUARTUS_VERSION "16.1.0 Lite Edition"
|
||||
set_global_assignment -name DEVICE_FILTER_PACKAGE FBGA
|
||||
set_global_assignment -name DEVICE_FILTER_PIN_COUNT 484
|
||||
set_global_assignment -name DEVICE_FILTER_SPEED_GRADE 6
|
||||
set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to ADC_CLK_10
|
||||
set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to MAX10_CLK1_50
|
||||
set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to MAX10_CLK2_50
|
||||
set_location_assignment PIN_N5 -to ADC_CLK_10
|
||||
set_location_assignment PIN_P11 -to MAX10_CLK1_50
|
||||
set_location_assignment PIN_N14 -to MAX10_CLK2_50
|
||||
set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to DRAM_ADDR[0]
|
||||
set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to DRAM_ADDR[1]
|
||||
set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to DRAM_ADDR[2]
|
||||
set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to DRAM_ADDR[3]
|
||||
set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to DRAM_ADDR[4]
|
||||
set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to DRAM_ADDR[5]
|
||||
set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to DRAM_ADDR[6]
|
||||
set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to DRAM_ADDR[7]
|
||||
set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to DRAM_ADDR[8]
|
||||
set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to DRAM_ADDR[9]
|
||||
set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to DRAM_ADDR[10]
|
||||
set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to DRAM_ADDR[11]
|
||||
set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to DRAM_ADDR[12]
|
||||
set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to DRAM_BA[0]
|
||||
set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to DRAM_BA[1]
|
||||
set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to DRAM_CAS_N
|
||||
set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to DRAM_CKE
|
||||
set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to DRAM_CLK
|
||||
set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to DRAM_CS_N
|
||||
set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to DRAM_DQ[0]
|
||||
set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to DRAM_DQ[1]
|
||||
set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to DRAM_DQ[2]
|
||||
set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to DRAM_DQ[3]
|
||||
set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to DRAM_DQ[4]
|
||||
set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to DRAM_DQ[5]
|
||||
set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to DRAM_DQ[6]
|
||||
set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to DRAM_DQ[7]
|
||||
set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to DRAM_DQ[8]
|
||||
set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to DRAM_DQ[9]
|
||||
set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to DRAM_DQ[10]
|
||||
set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to DRAM_DQ[11]
|
||||
set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to DRAM_DQ[12]
|
||||
set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to DRAM_DQ[13]
|
||||
set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to DRAM_DQ[14]
|
||||
set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to DRAM_DQ[15]
|
||||
set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to DRAM_LDQM
|
||||
set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to DRAM_RAS_N
|
||||
set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to DRAM_UDQM
|
||||
set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to DRAM_WE_N
|
||||
set_location_assignment PIN_U17 -to DRAM_ADDR[0]
|
||||
set_location_assignment PIN_W19 -to DRAM_ADDR[1]
|
||||
set_location_assignment PIN_V18 -to DRAM_ADDR[2]
|
||||
set_location_assignment PIN_U18 -to DRAM_ADDR[3]
|
||||
set_location_assignment PIN_U19 -to DRAM_ADDR[4]
|
||||
set_location_assignment PIN_T18 -to DRAM_ADDR[5]
|
||||
set_location_assignment PIN_T19 -to DRAM_ADDR[6]
|
||||
set_location_assignment PIN_R18 -to DRAM_ADDR[7]
|
||||
set_location_assignment PIN_P18 -to DRAM_ADDR[8]
|
||||
set_location_assignment PIN_P19 -to DRAM_ADDR[9]
|
||||
set_location_assignment PIN_T20 -to DRAM_ADDR[10]
|
||||
set_location_assignment PIN_P20 -to DRAM_ADDR[11]
|
||||
set_location_assignment PIN_R20 -to DRAM_ADDR[12]
|
||||
set_location_assignment PIN_T21 -to DRAM_BA[0]
|
||||
set_location_assignment PIN_T22 -to DRAM_BA[1]
|
||||
set_location_assignment PIN_U21 -to DRAM_CAS_N
|
||||
set_location_assignment PIN_N22 -to DRAM_CKE
|
||||
set_location_assignment PIN_L14 -to DRAM_CLK
|
||||
set_location_assignment PIN_U20 -to DRAM_CS_N
|
||||
set_location_assignment PIN_Y21 -to DRAM_DQ[0]
|
||||
set_location_assignment PIN_Y20 -to DRAM_DQ[1]
|
||||
set_location_assignment PIN_AA22 -to DRAM_DQ[2]
|
||||
set_location_assignment PIN_AA21 -to DRAM_DQ[3]
|
||||
set_location_assignment PIN_Y22 -to DRAM_DQ[4]
|
||||
set_location_assignment PIN_W22 -to DRAM_DQ[5]
|
||||
set_location_assignment PIN_W20 -to DRAM_DQ[6]
|
||||
set_location_assignment PIN_V21 -to DRAM_DQ[7]
|
||||
set_location_assignment PIN_P21 -to DRAM_DQ[8]
|
||||
set_location_assignment PIN_J22 -to DRAM_DQ[9]
|
||||
set_location_assignment PIN_H21 -to DRAM_DQ[10]
|
||||
set_location_assignment PIN_H22 -to DRAM_DQ[11]
|
||||
set_location_assignment PIN_G22 -to DRAM_DQ[12]
|
||||
set_location_assignment PIN_G20 -to DRAM_DQ[13]
|
||||
set_location_assignment PIN_G19 -to DRAM_DQ[14]
|
||||
set_location_assignment PIN_F22 -to DRAM_DQ[15]
|
||||
set_location_assignment PIN_V22 -to DRAM_LDQM
|
||||
set_location_assignment PIN_U22 -to DRAM_RAS_N
|
||||
set_location_assignment PIN_J21 -to DRAM_UDQM
|
||||
set_location_assignment PIN_V20 -to DRAM_WE_N
|
||||
set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to HEX0[0]
|
||||
set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to HEX0[1]
|
||||
set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to HEX0[2]
|
||||
set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to HEX0[3]
|
||||
set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to HEX0[4]
|
||||
set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to HEX0[5]
|
||||
set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to HEX0[6]
|
||||
set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to HEX0[7]
|
||||
set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to HEX1[0]
|
||||
set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to HEX1[1]
|
||||
set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to HEX1[2]
|
||||
set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to HEX1[3]
|
||||
set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to HEX1[4]
|
||||
set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to HEX1[5]
|
||||
set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to HEX1[6]
|
||||
set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to HEX1[7]
|
||||
set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to HEX2[0]
|
||||
set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to HEX2[1]
|
||||
set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to HEX2[2]
|
||||
set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to HEX2[3]
|
||||
set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to HEX2[4]
|
||||
set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to HEX2[5]
|
||||
set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to HEX2[6]
|
||||
set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to HEX2[7]
|
||||
set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to HEX3[0]
|
||||
set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to HEX3[1]
|
||||
set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to HEX3[2]
|
||||
set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to HEX3[3]
|
||||
set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to HEX3[4]
|
||||
set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to HEX3[5]
|
||||
set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to HEX3[6]
|
||||
set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to HEX3[7]
|
||||
set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to HEX4[0]
|
||||
set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to HEX4[1]
|
||||
set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to HEX4[2]
|
||||
set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to HEX4[3]
|
||||
set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to HEX4[4]
|
||||
set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to HEX4[5]
|
||||
set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to HEX4[6]
|
||||
set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to HEX4[7]
|
||||
set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to HEX5[0]
|
||||
set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to HEX5[1]
|
||||
set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to HEX5[2]
|
||||
set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to HEX5[3]
|
||||
set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to HEX5[4]
|
||||
set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to HEX5[5]
|
||||
set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to HEX5[6]
|
||||
set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to HEX5[7]
|
||||
set_location_assignment PIN_C14 -to HEX0[0]
|
||||
set_location_assignment PIN_E15 -to HEX0[1]
|
||||
set_location_assignment PIN_C15 -to HEX0[2]
|
||||
set_location_assignment PIN_C16 -to HEX0[3]
|
||||
set_location_assignment PIN_E16 -to HEX0[4]
|
||||
set_location_assignment PIN_D17 -to HEX0[5]
|
||||
set_location_assignment PIN_C17 -to HEX0[6]
|
||||
set_location_assignment PIN_D15 -to HEX0[7]
|
||||
set_location_assignment PIN_C18 -to HEX1[0]
|
||||
set_location_assignment PIN_D18 -to HEX1[1]
|
||||
set_location_assignment PIN_E18 -to HEX1[2]
|
||||
set_location_assignment PIN_B16 -to HEX1[3]
|
||||
set_location_assignment PIN_A17 -to HEX1[4]
|
||||
set_location_assignment PIN_A18 -to HEX1[5]
|
||||
set_location_assignment PIN_B17 -to HEX1[6]
|
||||
set_location_assignment PIN_A16 -to HEX1[7]
|
||||
set_location_assignment PIN_B20 -to HEX2[0]
|
||||
set_location_assignment PIN_A20 -to HEX2[1]
|
||||
set_location_assignment PIN_B19 -to HEX2[2]
|
||||
set_location_assignment PIN_A21 -to HEX2[3]
|
||||
set_location_assignment PIN_B21 -to HEX2[4]
|
||||
set_location_assignment PIN_C22 -to HEX2[5]
|
||||
set_location_assignment PIN_B22 -to HEX2[6]
|
||||
set_location_assignment PIN_A19 -to HEX2[7]
|
||||
set_location_assignment PIN_F21 -to HEX3[0]
|
||||
set_location_assignment PIN_E22 -to HEX3[1]
|
||||
set_location_assignment PIN_E21 -to HEX3[2]
|
||||
set_location_assignment PIN_C19 -to HEX3[3]
|
||||
set_location_assignment PIN_C20 -to HEX3[4]
|
||||
set_location_assignment PIN_D19 -to HEX3[5]
|
||||
set_location_assignment PIN_E17 -to HEX3[6]
|
||||
set_location_assignment PIN_D22 -to HEX3[7]
|
||||
set_location_assignment PIN_F18 -to HEX4[0]
|
||||
set_location_assignment PIN_E20 -to HEX4[1]
|
||||
set_location_assignment PIN_E19 -to HEX4[2]
|
||||
set_location_assignment PIN_J18 -to HEX4[3]
|
||||
set_location_assignment PIN_H19 -to HEX4[4]
|
||||
set_location_assignment PIN_F19 -to HEX4[5]
|
||||
set_location_assignment PIN_F20 -to HEX4[6]
|
||||
set_location_assignment PIN_F17 -to HEX4[7]
|
||||
set_location_assignment PIN_J20 -to HEX5[0]
|
||||
set_location_assignment PIN_K20 -to HEX5[1]
|
||||
set_location_assignment PIN_L18 -to HEX5[2]
|
||||
set_location_assignment PIN_N18 -to HEX5[3]
|
||||
set_location_assignment PIN_M20 -to HEX5[4]
|
||||
set_location_assignment PIN_N19 -to HEX5[5]
|
||||
set_location_assignment PIN_N20 -to HEX5[6]
|
||||
set_location_assignment PIN_L19 -to HEX5[7]
|
||||
set_instance_assignment -name IO_STANDARD "3.3 V SCHMITT TRIGGER" -to KEY[0]
|
||||
set_instance_assignment -name IO_STANDARD "3.3 V SCHMITT TRIGGER" -to KEY[1]
|
||||
set_location_assignment PIN_B8 -to KEY[0]
|
||||
set_location_assignment PIN_A7 -to KEY[1]
|
||||
set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to LEDR[0]
|
||||
set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to LEDR[1]
|
||||
set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to LEDR[2]
|
||||
set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to LEDR[3]
|
||||
set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to LEDR[4]
|
||||
set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to LEDR[5]
|
||||
set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to LEDR[6]
|
||||
set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to LEDR[7]
|
||||
set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to LEDR[8]
|
||||
set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to LEDR[9]
|
||||
set_location_assignment PIN_A8 -to LEDR[0]
|
||||
set_location_assignment PIN_A9 -to LEDR[1]
|
||||
set_location_assignment PIN_A10 -to LEDR[2]
|
||||
set_location_assignment PIN_B10 -to LEDR[3]
|
||||
set_location_assignment PIN_D13 -to LEDR[4]
|
||||
set_location_assignment PIN_C13 -to LEDR[5]
|
||||
set_location_assignment PIN_E14 -to LEDR[6]
|
||||
set_location_assignment PIN_D14 -to LEDR[7]
|
||||
set_location_assignment PIN_A11 -to LEDR[8]
|
||||
set_location_assignment PIN_B11 -to LEDR[9]
|
||||
set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to SW[0]
|
||||
set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to SW[1]
|
||||
set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to SW[2]
|
||||
set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to SW[3]
|
||||
set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to SW[4]
|
||||
set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to SW[5]
|
||||
set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to SW[6]
|
||||
set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to SW[7]
|
||||
set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to SW[8]
|
||||
set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to SW[9]
|
||||
set_location_assignment PIN_C10 -to SW[0]
|
||||
set_location_assignment PIN_C11 -to SW[1]
|
||||
set_location_assignment PIN_D12 -to SW[2]
|
||||
set_location_assignment PIN_C12 -to SW[3]
|
||||
set_location_assignment PIN_A12 -to SW[4]
|
||||
set_location_assignment PIN_B12 -to SW[5]
|
||||
set_location_assignment PIN_A13 -to SW[6]
|
||||
set_location_assignment PIN_A14 -to SW[7]
|
||||
set_location_assignment PIN_B14 -to SW[8]
|
||||
set_location_assignment PIN_F15 -to SW[9]
|
||||
set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to VGA_B[0]
|
||||
set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to VGA_B[1]
|
||||
set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to VGA_B[2]
|
||||
set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to VGA_B[3]
|
||||
set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to VGA_G[0]
|
||||
set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to VGA_G[1]
|
||||
set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to VGA_G[2]
|
||||
set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to VGA_G[3]
|
||||
set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to VGA_HS
|
||||
set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to VGA_R[0]
|
||||
set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to VGA_R[1]
|
||||
set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to VGA_R[2]
|
||||
set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to VGA_R[3]
|
||||
set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to VGA_VS
|
||||
set_location_assignment PIN_P1 -to VGA_B[0]
|
||||
set_location_assignment PIN_T1 -to VGA_B[1]
|
||||
set_location_assignment PIN_P4 -to VGA_B[2]
|
||||
set_location_assignment PIN_N2 -to VGA_B[3]
|
||||
set_location_assignment PIN_W1 -to VGA_G[0]
|
||||
set_location_assignment PIN_T2 -to VGA_G[1]
|
||||
set_location_assignment PIN_R2 -to VGA_G[2]
|
||||
set_location_assignment PIN_R1 -to VGA_G[3]
|
||||
set_location_assignment PIN_N3 -to VGA_HS
|
||||
set_location_assignment PIN_AA1 -to VGA_R[0]
|
||||
set_location_assignment PIN_V1 -to VGA_R[1]
|
||||
set_location_assignment PIN_Y2 -to VGA_R[2]
|
||||
set_location_assignment PIN_Y1 -to VGA_R[3]
|
||||
set_location_assignment PIN_N1 -to VGA_VS
|
||||
set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to GSENSOR_CS_N
|
||||
set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to GSENSOR_INT[1]
|
||||
set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to GSENSOR_INT[2]
|
||||
set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to GSENSOR_SCLK
|
||||
set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to GSENSOR_SDI
|
||||
set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to GSENSOR_SDO
|
||||
set_location_assignment PIN_AB16 -to GSENSOR_CS_N
|
||||
set_location_assignment PIN_Y14 -to GSENSOR_INT[1]
|
||||
set_location_assignment PIN_Y13 -to GSENSOR_INT[2]
|
||||
set_location_assignment PIN_AB15 -to GSENSOR_SCLK
|
||||
set_location_assignment PIN_V11 -to GSENSOR_SDI
|
||||
set_location_assignment PIN_V12 -to GSENSOR_SDO
|
||||
set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to ARDUINO_IO[0]
|
||||
set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to ARDUINO_IO[1]
|
||||
set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to ARDUINO_IO[2]
|
||||
set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to ARDUINO_IO[3]
|
||||
set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to ARDUINO_IO[4]
|
||||
set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to ARDUINO_IO[5]
|
||||
set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to ARDUINO_IO[6]
|
||||
set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to ARDUINO_IO[7]
|
||||
set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to ARDUINO_IO[8]
|
||||
set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to ARDUINO_IO[9]
|
||||
set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to ARDUINO_IO[10]
|
||||
set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to ARDUINO_IO[11]
|
||||
set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to ARDUINO_IO[12]
|
||||
set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to ARDUINO_IO[13]
|
||||
set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to ARDUINO_IO[14]
|
||||
set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to ARDUINO_IO[15]
|
||||
set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to ARDUINO_RESET_N
|
||||
set_location_assignment PIN_AB5 -to ARDUINO_IO[0]
|
||||
set_location_assignment PIN_AB6 -to ARDUINO_IO[1]
|
||||
set_location_assignment PIN_AB7 -to ARDUINO_IO[2]
|
||||
set_location_assignment PIN_AB8 -to ARDUINO_IO[3]
|
||||
set_location_assignment PIN_AB9 -to ARDUINO_IO[4]
|
||||
set_location_assignment PIN_Y10 -to ARDUINO_IO[5]
|
||||
set_location_assignment PIN_AA11 -to ARDUINO_IO[6]
|
||||
set_location_assignment PIN_AA12 -to ARDUINO_IO[7]
|
||||
set_location_assignment PIN_AB17 -to ARDUINO_IO[8]
|
||||
set_location_assignment PIN_AA17 -to ARDUINO_IO[9]
|
||||
set_location_assignment PIN_AB19 -to ARDUINO_IO[10]
|
||||
set_location_assignment PIN_AA19 -to ARDUINO_IO[11]
|
||||
set_location_assignment PIN_Y19 -to ARDUINO_IO[12]
|
||||
set_location_assignment PIN_AB20 -to ARDUINO_IO[13]
|
||||
set_location_assignment PIN_AB21 -to ARDUINO_IO[14]
|
||||
set_location_assignment PIN_AA20 -to ARDUINO_IO[15]
|
||||
set_location_assignment PIN_F16 -to ARDUINO_RESET_N
|
||||
set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to GPIO[0]
|
||||
set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to GPIO[1]
|
||||
set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to GPIO[2]
|
||||
set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to GPIO[3]
|
||||
set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to GPIO[4]
|
||||
set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to GPIO[5]
|
||||
set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to GPIO[6]
|
||||
set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to GPIO[7]
|
||||
set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to GPIO[8]
|
||||
set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to GPIO[9]
|
||||
set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to GPIO[10]
|
||||
set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to GPIO[11]
|
||||
set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to GPIO[12]
|
||||
set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to GPIO[13]
|
||||
set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to GPIO[14]
|
||||
set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to GPIO[15]
|
||||
set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to GPIO[16]
|
||||
set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to GPIO[17]
|
||||
set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to GPIO[18]
|
||||
set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to GPIO[19]
|
||||
set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to GPIO[20]
|
||||
set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to GPIO[21]
|
||||
set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to GPIO[22]
|
||||
set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to GPIO[23]
|
||||
set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to GPIO[24]
|
||||
set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to GPIO[25]
|
||||
set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to GPIO[26]
|
||||
set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to GPIO[27]
|
||||
set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to GPIO[28]
|
||||
set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to GPIO[29]
|
||||
set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to GPIO[30]
|
||||
set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to GPIO[31]
|
||||
set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to GPIO[32]
|
||||
set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to GPIO[33]
|
||||
set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to GPIO[34]
|
||||
set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to GPIO[35]
|
||||
set_location_assignment PIN_V10 -to GPIO[0]
|
||||
set_location_assignment PIN_W10 -to GPIO[1]
|
||||
set_location_assignment PIN_V9 -to GPIO[2]
|
||||
set_location_assignment PIN_W9 -to GPIO[3]
|
||||
set_location_assignment PIN_V8 -to GPIO[4]
|
||||
set_location_assignment PIN_W8 -to GPIO[5]
|
||||
set_location_assignment PIN_V7 -to GPIO[6]
|
||||
set_location_assignment PIN_W7 -to GPIO[7]
|
||||
set_location_assignment PIN_W6 -to GPIO[8]
|
||||
set_location_assignment PIN_V5 -to GPIO[9]
|
||||
set_location_assignment PIN_W5 -to GPIO[10]
|
||||
set_location_assignment PIN_AA15 -to GPIO[11]
|
||||
set_location_assignment PIN_AA14 -to GPIO[12]
|
||||
set_location_assignment PIN_W13 -to GPIO[13]
|
||||
set_location_assignment PIN_W12 -to GPIO[14]
|
||||
set_location_assignment PIN_AB13 -to GPIO[15]
|
||||
set_location_assignment PIN_AB12 -to GPIO[16]
|
||||
set_location_assignment PIN_Y11 -to GPIO[17]
|
||||
set_location_assignment PIN_AB11 -to GPIO[18]
|
||||
set_location_assignment PIN_W11 -to GPIO[19]
|
||||
set_location_assignment PIN_AB10 -to GPIO[20]
|
||||
set_location_assignment PIN_AA10 -to GPIO[21]
|
||||
set_location_assignment PIN_AA9 -to GPIO[22]
|
||||
set_location_assignment PIN_Y8 -to GPIO[23]
|
||||
set_location_assignment PIN_AA8 -to GPIO[24]
|
||||
set_location_assignment PIN_Y7 -to GPIO[25]
|
||||
set_location_assignment PIN_AA7 -to GPIO[26]
|
||||
set_location_assignment PIN_Y6 -to GPIO[27]
|
||||
set_location_assignment PIN_AA6 -to GPIO[28]
|
||||
set_location_assignment PIN_Y5 -to GPIO[29]
|
||||
set_location_assignment PIN_AA5 -to GPIO[30]
|
||||
set_location_assignment PIN_Y4 -to GPIO[31]
|
||||
set_location_assignment PIN_AB3 -to GPIO[32]
|
||||
set_location_assignment PIN_Y3 -to GPIO[33]
|
||||
set_location_assignment PIN_AB2 -to GPIO[34]
|
||||
set_location_assignment PIN_AA2 -to GPIO[35]
|
||||
set_global_assignment -name PARTITION_NETLIST_TYPE SOURCE -section_id Top
|
||||
set_global_assignment -name PARTITION_FITTER_PRESERVATION_LEVEL PLACEMENT_AND_ROUTING -section_id Top
|
||||
set_global_assignment -name PARTITION_COLOR 16764057 -section_id Top
|
||||
set_global_assignment -name VERILOG_FILE DE10_LITE_Golden_Top.v
|
||||
set_global_assignment -name MIN_CORE_JUNCTION_TEMP 0
|
||||
set_global_assignment -name MAX_CORE_JUNCTION_TEMP 85
|
||||
set_global_assignment -name POWER_PRESET_COOLING_SOLUTION "23 MM HEAT SINK WITH 200 LFPM AIRFLOW"
|
||||
set_global_assignment -name POWER_BOARD_THERMAL_MODEL "NONE (CONSERVATIVE)"
|
||||
set_global_assignment -name PROJECT_OUTPUT_DIRECTORY output_files
|
||||
set_instance_assignment -name PARTITION_HIERARCHY root_partition -to | -section_id Top
|
||||
}
|
@ -0,0 +1,6 @@
|
||||
{
|
||||
"common_dir" : "/data/adu/17.0/Lite/Max10/Max_10_DE10_LITE/DE10_LITE_Golden_Top_project/",
|
||||
"acds_version" : "Version 17.0.0",
|
||||
"platform" : "linux",
|
||||
"os" : "Red Hat"
|
||||
}
|
8
EE203/Noah Woodlee/Lab2/part4/devkits/readme.txt
Normal file
8
EE203/Noah Woodlee/Lab2/part4/devkits/readme.txt
Normal file
@ -0,0 +1,8 @@
|
||||
This devkits directory contains development kit baseline example designs.
|
||||
|
||||
HOW TO SETUP PIN ASSIGNMENTS
|
||||
1) Bring up the Tcl Console panel in Quartus from the View menu --> Utility Windows.
|
||||
2) Type command 'source platform_setup.tcl' in the Tcl console.
|
||||
3) Type command 'setup_project' in the Tcl console.
|
||||
- Running this command will populate all assignments available in the setup_platform.tcl to your project QSF file.
|
||||
|
11
EE203/Noah Woodlee/Lab2/part4/incremental_db/README
Normal file
11
EE203/Noah Woodlee/Lab2/part4/incremental_db/README
Normal file
@ -0,0 +1,11 @@
|
||||
This folder contains data for incremental compilation.
|
||||
|
||||
The compiled_partitions sub-folder contains previous compilation results for each partition.
|
||||
As long as this folder is preserved, incremental compilation results from earlier compiles
|
||||
can be re-used. To perform a clean compilation from source files for all partitions, both
|
||||
the db and incremental_db folder should be removed.
|
||||
|
||||
The imported_partitions sub-folder contains the last imported QXP for each imported partition.
|
||||
As long as this folder is preserved, imported partitions will be automatically re-imported
|
||||
when the db or incremental_db/compiled_partitions folders are removed.
|
||||
|
@ -0,0 +1,3 @@
|
||||
Quartus_Version = Version 20.1.1 Build 720 11/11/2020 SJ Lite Edition
|
||||
Version_Index = 520278016
|
||||
Creation_Time = Wed Apr 21 01:16:27 2021
|
Binary file not shown.
Binary file not shown.
Binary file not shown.
Binary file not shown.
@ -0,0 +1 @@
|
||||
v1
|
Binary file not shown.
Binary file not shown.
Binary file not shown.
Binary file not shown.
Binary file not shown.
Binary file not shown.
@ -0,0 +1 @@
|
||||
fa8634a97a99232bb4bb1c2e0a376209
|
Binary file not shown.
Binary file not shown.
Binary file not shown.
92
EE203/Noah Woodlee/Lab2/part4/output_files/part4.asm.rpt
Normal file
92
EE203/Noah Woodlee/Lab2/part4/output_files/part4.asm.rpt
Normal file
@ -0,0 +1,92 @@
|
||||
Assembler report for part4
|
||||
Sun Apr 25 14:22:30 2021
|
||||
Quartus Prime Version 20.1.1 Build 720 11/11/2020 SJ Lite Edition
|
||||
|
||||
|
||||
---------------------
|
||||
; Table of Contents ;
|
||||
---------------------
|
||||
1. Legal Notice
|
||||
2. Assembler Summary
|
||||
3. Assembler Settings
|
||||
4. Assembler Generated Files
|
||||
5. Assembler Device Options: part4.sof
|
||||
6. Assembler Messages
|
||||
|
||||
|
||||
|
||||
----------------
|
||||
; Legal Notice ;
|
||||
----------------
|
||||
Copyright (C) 2020 Intel Corporation. All rights reserved.
|
||||
Your use of Intel Corporation's design tools, logic functions
|
||||
and other software and tools, and any partner logic
|
||||
functions, and any output files from any of the foregoing
|
||||
(including device programming or simulation files), and any
|
||||
associated documentation or information are expressly subject
|
||||
to the terms and conditions of the Intel Program License
|
||||
Subscription Agreement, the Intel Quartus Prime License Agreement,
|
||||
the Intel FPGA IP License Agreement, or other applicable license
|
||||
agreement, including, without limitation, that your use is for
|
||||
the sole purpose of programming logic devices manufactured by
|
||||
Intel and sold by Intel or its authorized distributors. Please
|
||||
refer to the applicable agreement for further details, at
|
||||
https://fpgasoftware.intel.com/eula.
|
||||
|
||||
|
||||
|
||||
+---------------------------------------------------------------+
|
||||
; Assembler Summary ;
|
||||
+-----------------------+---------------------------------------+
|
||||
; Assembler Status ; Successful - Sun Apr 25 14:22:30 2021 ;
|
||||
; Revision Name ; part4 ;
|
||||
; Top-level Entity Name ; part4 ;
|
||||
; Family ; MAX 10 ;
|
||||
; Device ; 10M50DAF484C6GES ;
|
||||
+-----------------------+---------------------------------------+
|
||||
|
||||
|
||||
+----------------------------------+
|
||||
; Assembler Settings ;
|
||||
+--------+---------+---------------+
|
||||
; Option ; Setting ; Default Value ;
|
||||
+--------+---------+---------------+
|
||||
|
||||
|
||||
+-----------------------------------------------------------------------------------------------+
|
||||
; Assembler Generated Files ;
|
||||
+-----------------------------------------------------------------------------------------------+
|
||||
; File Name ;
|
||||
+-----------------------------------------------------------------------------------------------+
|
||||
; /home/andrew/Storage/UAH/SP2021/EE203/Projects/Noah Woodlee/Lab2/part4/output_files/part4.sof ;
|
||||
+-----------------------------------------------------------------------------------------------+
|
||||
|
||||
|
||||
+-------------------------------------+
|
||||
; Assembler Device Options: part4.sof ;
|
||||
+----------------+--------------------+
|
||||
; Option ; Setting ;
|
||||
+----------------+--------------------+
|
||||
; JTAG usercode ; 0x00273611 ;
|
||||
; Checksum ; 0x00273611 ;
|
||||
+----------------+--------------------+
|
||||
|
||||
|
||||
+--------------------+
|
||||
; Assembler Messages ;
|
||||
+--------------------+
|
||||
Info: *******************************************************************
|
||||
Info: Running Quartus Prime Assembler
|
||||
Info: Version 20.1.1 Build 720 11/11/2020 SJ Lite Edition
|
||||
Info: Processing started: Sun Apr 25 14:22:25 2021
|
||||
Info: Command: quartus_asm --read_settings_files=off --write_settings_files=off part4 -c part4
|
||||
Warning (18236): Number of processors has not been specified which may cause overloading on shared machines. Set the global assignment NUM_PARALLEL_PROCESSORS in your QSF to an appropriate value for best performance.
|
||||
Info (115031): Writing out detailed assembly data for power analysis
|
||||
Info (115030): Assembler is generating device programming files
|
||||
Info: Quartus Prime Assembler was successful. 0 errors, 1 warning
|
||||
Info: Peak virtual memory: 356 megabytes
|
||||
Info: Processing ended: Sun Apr 25 14:22:30 2021
|
||||
Info: Elapsed time: 00:00:05
|
||||
Info: Total CPU time (on all processors): 00:00:05
|
||||
|
||||
|
1
EE203/Noah Woodlee/Lab2/part4/output_files/part4.done
Normal file
1
EE203/Noah Woodlee/Lab2/part4/output_files/part4.done
Normal file
@ -0,0 +1 @@
|
||||
Sun Apr 25 14:22:35 2021
|
1361
EE203/Noah Woodlee/Lab2/part4/output_files/part4.fit.rpt
Normal file
1361
EE203/Noah Woodlee/Lab2/part4/output_files/part4.fit.rpt
Normal file
File diff suppressed because it is too large
Load Diff
@ -0,0 +1,8 @@
|
||||
Extra Info (176273): Performing register packing on registers with non-logic cell location assignments
|
||||
Extra Info (176274): Completed register packing on registers with non-logic cell location assignments
|
||||
Extra Info (176236): Started Fast Input/Output/OE register processing
|
||||
Extra Info (176237): Finished Fast Input/Output/OE register processing
|
||||
Extra Info (176238): Start inferring scan chains for DSP blocks
|
||||
Extra Info (176239): Inferring scan chains for DSP blocks is complete
|
||||
Extra Info (176248): Moving registers into I/O cells, Multiplier Blocks, and RAM blocks to improve timing and density
|
||||
Extra Info (176249): Finished moving registers into I/O cells, Multiplier Blocks, and RAM blocks
|
18
EE203/Noah Woodlee/Lab2/part4/output_files/part4.fit.summary
Normal file
18
EE203/Noah Woodlee/Lab2/part4/output_files/part4.fit.summary
Normal file
@ -0,0 +1,18 @@
|
||||
Fitter Status : Successful - Sun Apr 25 14:22:23 2021
|
||||
Quartus Prime Version : 20.1.1 Build 720 11/11/2020 SJ Lite Edition
|
||||
Revision Name : part4
|
||||
Top-level Entity Name : part4
|
||||
Family : MAX 10
|
||||
Device : 10M50DAF484C6GES
|
||||
Timing Models : Preliminary
|
||||
Total logic elements : 20 / 49,760 ( < 1 % )
|
||||
Total combinational functions : 20 / 49,760 ( < 1 % )
|
||||
Dedicated logic registers : 0 / 49,760 ( 0 % )
|
||||
Total registers : 0
|
||||
Total pins : 23 / 360 ( 6 % )
|
||||
Total virtual pins : 0
|
||||
Total memory bits : 0 / 1,677,312 ( 0 % )
|
||||
Embedded Multiplier 9-bit elements : 0 / 288 ( 0 % )
|
||||
Total PLLs : 0 / 4 ( 0 % )
|
||||
UFM blocks : 0 / 1 ( 0 % )
|
||||
ADC blocks : 0 / 2 ( 0 % )
|
129
EE203/Noah Woodlee/Lab2/part4/output_files/part4.flow.rpt
Normal file
129
EE203/Noah Woodlee/Lab2/part4/output_files/part4.flow.rpt
Normal file
@ -0,0 +1,129 @@
|
||||
Flow report for part4
|
||||
Sun Apr 25 14:22:35 2021
|
||||
Quartus Prime Version 20.1.1 Build 720 11/11/2020 SJ Lite Edition
|
||||
|
||||
|
||||
---------------------
|
||||
; Table of Contents ;
|
||||
---------------------
|
||||
1. Legal Notice
|
||||
2. Flow Summary
|
||||
3. Flow Settings
|
||||
4. Flow Non-Default Global Settings
|
||||
5. Flow Elapsed Time
|
||||
6. Flow OS Summary
|
||||
7. Flow Log
|
||||
8. Flow Messages
|
||||
9. Flow Suppressed Messages
|
||||
|
||||
|
||||
|
||||
----------------
|
||||
; Legal Notice ;
|
||||
----------------
|
||||
Copyright (C) 2020 Intel Corporation. All rights reserved.
|
||||
Your use of Intel Corporation's design tools, logic functions
|
||||
and other software and tools, and any partner logic
|
||||
functions, and any output files from any of the foregoing
|
||||
(including device programming or simulation files), and any
|
||||
associated documentation or information are expressly subject
|
||||
to the terms and conditions of the Intel Program License
|
||||
Subscription Agreement, the Intel Quartus Prime License Agreement,
|
||||
the Intel FPGA IP License Agreement, or other applicable license
|
||||
agreement, including, without limitation, that your use is for
|
||||
the sole purpose of programming logic devices manufactured by
|
||||
Intel and sold by Intel or its authorized distributors. Please
|
||||
refer to the applicable agreement for further details, at
|
||||
https://fpgasoftware.intel.com/eula.
|
||||
|
||||
|
||||
|
||||
+----------------------------------------------------------------------------------+
|
||||
; Flow Summary ;
|
||||
+------------------------------------+---------------------------------------------+
|
||||
; Flow Status ; Successful - Sun Apr 25 14:22:30 2021 ;
|
||||
; Quartus Prime Version ; 20.1.1 Build 720 11/11/2020 SJ Lite Edition ;
|
||||
; Revision Name ; part4 ;
|
||||
; Top-level Entity Name ; part4 ;
|
||||
; Family ; MAX 10 ;
|
||||
; Device ; 10M50DAF484C6GES ;
|
||||
; Timing Models ; Preliminary ;
|
||||
; Total logic elements ; 20 / 49,760 ( < 1 % ) ;
|
||||
; Total combinational functions ; 20 / 49,760 ( < 1 % ) ;
|
||||
; Dedicated logic registers ; 0 / 49,760 ( 0 % ) ;
|
||||
; Total registers ; 0 ;
|
||||
; Total pins ; 23 / 360 ( 6 % ) ;
|
||||
; Total virtual pins ; 0 ;
|
||||
; Total memory bits ; 0 / 1,677,312 ( 0 % ) ;
|
||||
; Embedded Multiplier 9-bit elements ; 0 / 288 ( 0 % ) ;
|
||||
; Total PLLs ; 0 / 4 ( 0 % ) ;
|
||||
; UFM blocks ; 0 / 1 ( 0 % ) ;
|
||||
; ADC blocks ; 0 / 2 ( 0 % ) ;
|
||||
+------------------------------------+---------------------------------------------+
|
||||
|
||||
|
||||
+-----------------------------------------+
|
||||
; Flow Settings ;
|
||||
+-------------------+---------------------+
|
||||
; Option ; Setting ;
|
||||
+-------------------+---------------------+
|
||||
; Start date & time ; 04/25/2021 14:21:54 ;
|
||||
; Main task ; Compilation ;
|
||||
; Revision Name ; part4 ;
|
||||
+-------------------+---------------------+
|
||||
|
||||
|
||||
+-------------------------------------------------------------------------------------------------------------------------+
|
||||
; Flow Non-Default Global Settings ;
|
||||
+-------------------------------------+----------------------------------------+---------------+-------------+------------+
|
||||
; Assignment Name ; Value ; Default Value ; Entity Name ; Section Id ;
|
||||
+-------------------------------------+----------------------------------------+---------------+-------------+------------+
|
||||
; COMPILER_SIGNATURE_ID ; 164639278517.161937851407219 ; -- ; -- ; -- ;
|
||||
; PARTITION_COLOR ; -- (Not supported for targeted family) ; -- ; Lab1Pt1 ; Top ;
|
||||
; PARTITION_COLOR ; -- (Not supported for targeted family) ; -- ; -- ; Top ;
|
||||
; PARTITION_COLOR ; -- (Not supported for targeted family) ; -- ; part5 ; Top ;
|
||||
; PARTITION_FITTER_PRESERVATION_LEVEL ; -- (Not supported for targeted family) ; -- ; Lab1Pt1 ; Top ;
|
||||
; PARTITION_FITTER_PRESERVATION_LEVEL ; -- (Not supported for targeted family) ; -- ; -- ; Top ;
|
||||
; PARTITION_FITTER_PRESERVATION_LEVEL ; -- (Not supported for targeted family) ; -- ; part5 ; Top ;
|
||||
; PARTITION_NETLIST_TYPE ; -- (Not supported for targeted family) ; -- ; Lab1Pt1 ; Top ;
|
||||
; PARTITION_NETLIST_TYPE ; -- (Not supported for targeted family) ; -- ; -- ; Top ;
|
||||
; PARTITION_NETLIST_TYPE ; -- (Not supported for targeted family) ; -- ; part5 ; Top ;
|
||||
; PROJECT_OUTPUT_DIRECTORY ; output_files ; -- ; -- ; -- ;
|
||||
+-------------------------------------+----------------------------------------+---------------+-------------+------------+
|
||||
|
||||
|
||||
+--------------------------------------------------------------------------------------------------------------------------+
|
||||
; Flow Elapsed Time ;
|
||||
+----------------------+--------------+-------------------------+---------------------+------------------------------------+
|
||||
; Module Name ; Elapsed Time ; Average Processors Used ; Peak Virtual Memory ; Total CPU Time (on all processors) ;
|
||||
+----------------------+--------------+-------------------------+---------------------+------------------------------------+
|
||||
; Analysis & Synthesis ; 00:00:14 ; 1.0 ; 388 MB ; 00:00:31 ;
|
||||
; Fitter ; 00:00:13 ; 1.0 ; 1083 MB ; 00:00:16 ;
|
||||
; Assembler ; 00:00:05 ; 1.0 ; 356 MB ; 00:00:05 ;
|
||||
; Timing Analyzer ; 00:00:03 ; 1.0 ; 509 MB ; 00:00:03 ;
|
||||
; Total ; 00:00:35 ; -- ; -- ; 00:00:55 ;
|
||||
+----------------------+--------------+-------------------------+---------------------+------------------------------------+
|
||||
|
||||
|
||||
+-------------------------------------------------------------------------------------------+
|
||||
; Flow OS Summary ;
|
||||
+----------------------+-------------------+------------------+------------+----------------+
|
||||
; Module Name ; Machine Hostname ; OS Name ; OS Version ; Processor type ;
|
||||
+----------------------+-------------------+------------------+------------+----------------+
|
||||
; Analysis & Synthesis ; TheMachine-SERVER ; Debian GNU/Linux ; 10 ; x86_64 ;
|
||||
; Fitter ; TheMachine-SERVER ; Debian GNU/Linux ; 10 ; x86_64 ;
|
||||
; Assembler ; TheMachine-SERVER ; Debian GNU/Linux ; 10 ; x86_64 ;
|
||||
; Timing Analyzer ; TheMachine-SERVER ; Debian GNU/Linux ; 10 ; x86_64 ;
|
||||
+----------------------+-------------------+------------------+------------+----------------+
|
||||
|
||||
|
||||
------------
|
||||
; Flow Log ;
|
||||
------------
|
||||
quartus_map --read_settings_files=on --write_settings_files=off part4 -c part4
|
||||
quartus_fit --read_settings_files=off --write_settings_files=off part4 -c part4
|
||||
quartus_asm --read_settings_files=off --write_settings_files=off part4 -c part4
|
||||
quartus_sta part4 -c part4
|
||||
|
||||
|
||||
|
Some files were not shown because too many files have changed in this diff Show More
Reference in New Issue
Block a user